freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

ppm基帶系統(tǒng)的建模與vhdl設(shè)計(jì)課程設(shè)計(jì)-全文預(yù)覽

  

【正文】 反相器組成,對(duì)接收到的PPM信號(hào)進(jìn)行整形。圖中,DATAIN為信碼輸入,CLK為時(shí)鐘輸入,PPMOUT為PPM產(chǎn)生器的信號(hào)輸出。end architecture fl。entity djhand isport(a,b:in std_logic。end process。139。end entity djhlatch。library ieee。 o:out std_logic)。end architecture mm。signal g,e:std_logic。end ponent djhnand。o:out std_logic)。entity pulsef isport(clk4,bj:in std_logic。 library ieee。039。 and zdf2=39。beginprocess(a1,a2,b1,b2)begin zdf1=a1 xor b1。entity bijiaoqi_1 is port(a1,a2,b1,b2:in std_logic。end process。 outp1=sum(1)。architecture bh of fenpin isbeginprocess(clk) variable sum:std_logic_vector(2 downto 0)。use 。o2=not(a nand a)。entity jiafaqi isport(a:in std_logic。這樣就可得到隨信碼的電平不同輸出脈沖起始位置不同的輸出信息。:圖1所示的串/并變換器相當(dāng)于一個(gè)二進(jìn)制加法器,設(shè)輸入信號(hào)為a,當(dāng)a為1時(shí),經(jīng)過(guò)加法器變?yōu)?0,當(dāng)a為0時(shí),經(jīng)過(guò)加法器變?yōu)?0.圖1中的二進(jìn)制分頻器是4分頻器,輸出高低2位二進(jìn)制信號(hào)。一. PPM基帶系統(tǒng)的模型產(chǎn)生PPM(Pulse Position Modulation)脈沖位置調(diào)制的方法很多,其中一種簡(jiǎn)單的PPM調(diào)制與解調(diào)如下圖所示。輸出的PPM脈沖信號(hào)和幀信號(hào)經(jīng)過(guò)輸出模塊輸出給解調(diào)器。這一幀時(shí)間就是一個(gè)PPM信號(hào),它包括M個(gè)時(shí)隙和一個(gè)保護(hù)時(shí)間。本文從工程應(yīng)用出發(fā),根據(jù)PPM的基本原理和數(shù)學(xué)模型,從脈沖位置調(diào)制的基本原理出發(fā),基于FPGA對(duì)PPM調(diào)制解調(diào)系統(tǒng)進(jìn)行設(shè)計(jì),并用VHDL語(yǔ)言完成了時(shí)序仿真。此外,PPM降低了光輻射平均功率的要求,小輻射功率對(duì)延長(zhǎng)發(fā)射光源工作壽命特別重要,能有效提高整機(jī)系統(tǒng)的使用壽命。關(guān)鍵詞: 脈沖位置調(diào)制(PPM) FPGA VHDL 模型 仿真二.正文:PPM(脈位調(diào)制)基帶系統(tǒng)的建模與設(shè)計(jì)PPM的原理是將一段時(shí)間分成M等分,每等份稱為一個(gè)時(shí)隙,在一幀的時(shí)間內(nèi)的某個(gè)時(shí)隙發(fā)出一個(gè)脈沖。時(shí)隙分頻器的分頻比由脈寬控制信號(hào)控制,幀分頻器對(duì)時(shí)隙信號(hào)計(jì)數(shù),當(dāng)計(jì)數(shù)值與調(diào)制數(shù)據(jù)相比較,當(dāng)二者相等時(shí)就輸出脈沖,當(dāng)計(jì)數(shù)值與調(diào)制的進(jìn)制數(shù)相等時(shí)就輸出幀信
點(diǎn)擊復(fù)制文檔內(nèi)容
教學(xué)課件相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1