【正文】
目 黑體字二號(hào) ,行距固定值 25磅 ,間距 段前、段后分別為 。 畢業(yè)論文中的插圖不得采用復(fù)印件。 坐標(biāo)單位 有數(shù)字標(biāo)注的坐標(biāo)圖,除無(wú)單位者(如標(biāo)示值)之外,必須注明坐標(biāo)單位。 圖中各部分說(shuō)明應(yīng)采用中文(引用的外文圖除外)或數(shù)字項(xiàng)號(hào),各項(xiàng)文字說(shuō)明置于圖題之上(有分圖題者,置于分圖題之上)。有圖注或其他說(shuō)明時(shí)應(yīng)置于圖題之上。 對(duì)無(wú)規(guī)定符號(hào)的圖形應(yīng)采用該行業(yè)的常用畫(huà)法。 制圖標(biāo)準(zhǔn) 插圖應(yīng)符合技術(shù)制圖及相應(yīng)專(zhuān)業(yè) 制圖的規(guī)定。 表內(nèi)文字說(shuō)明,起行空一格,轉(zhuǎn)行頂格,句末不加標(biāo)點(diǎn)。數(shù)字空缺的格內(nèi)加“ — ”字線(xiàn)(占 2 個(gè)數(shù)字寬度)。 表頭設(shè)計(jì)應(yīng)簡(jiǎn)單明了,盡量不用斜線(xiàn)。 插表 表格不加左、右邊線(xiàn)。 公式序號(hào)按章編排,如第 1 章第一個(gè)公式序號(hào)為“( 11)”,附錄 2 中的第一個(gè)公式為(②1)等。 數(shù)字 按國(guó)家語(yǔ)言文字工作委員會(huì)等七單位 1987 年發(fā)布的《關(guān)于出版物上數(shù)字用法的規(guī)定》,除習(xí)慣用中文數(shù)字表示的以外,一般均采用阿拉伯?dāng)?shù)字(參照附錄 3)。 文稿敘述中不定數(shù)字之后允許用中文計(jì)量單 位符號(hào),如“幾千克至 1 000kg”。計(jì)量單位符號(hào),除用人名命名的單位 20 第一個(gè)字母用大寫(xiě)之外,一律用小寫(xiě)字母。一些特殊名詞或新名詞應(yīng)在適當(dāng)位置加以說(shuō)明或注解。 參考文獻(xiàn)書(shū)寫(xiě)格式示例見(jiàn)附錄 1。 參考文獻(xiàn)序號(hào)頂格書(shū)寫(xiě),不加括號(hào)與標(biāo)點(diǎn),其后空一格寫(xiě)作者名。未出版論文集者省去“出版者”、“出版年”兩項(xiàng)。文獻(xiàn)中的外文字母一律用正體。在參考文獻(xiàn)之外,若有注釋的話(huà),建議采用夾注,即緊接文句,用圓括號(hào) 標(biāo)明。 參考文獻(xiàn) 正文中引用文獻(xiàn)標(biāo)示應(yīng)置于所引內(nèi)容最末句的右上角,用小五號(hào)字體。標(biāo)題中盡量不用英文縮寫(xiě)詞,對(duì)必須采用者,應(yīng)使用本行業(yè)的通用縮寫(xiě)詞。文科、管理類(lèi)可視論文需要進(jìn)行,編寫(xiě)到 2~ 3 級(jí) 標(biāo)題。 摘要 中文摘要一般為 300 字左右,外文摘要應(yīng)與中文摘要內(nèi)容相同,在語(yǔ)法、用詞和書(shū)寫(xiě)上應(yīng)正確無(wú)誤,摘要頁(yè)勿需寫(xiě)出論文題目。 頁(yè)眉 、 頁(yè)腳 邊距分別為 和 。 頁(yè)眉。 藝術(shù)類(lèi) 論文正文字?jǐn)?shù) 3 000~ 5 000 字。 17 論文書(shū)寫(xiě)規(guī)定 論文正文字?jǐn)?shù) 理工類(lèi) 論文正文字?jǐn)?shù)不少于 20 000 字。 引用網(wǎng)上參考文獻(xiàn)時(shí),應(yīng)注明該文獻(xiàn)的準(zhǔn)確網(wǎng)頁(yè)地址,網(wǎng)上參考文獻(xiàn)不包含在上述規(guī)定的文獻(xiàn)數(shù)量之內(nèi)。對(duì)理工類(lèi)論文,參考文獻(xiàn)數(shù)量一般應(yīng)在 15 篇以上,其中學(xué)術(shù)期刊類(lèi)文獻(xiàn)不少于 8 篇,外文文獻(xiàn)不少于 3 篇;對(duì)文科類(lèi)、管理類(lèi)論文,參考文獻(xiàn)數(shù)量一般為 10~ 20 篇,其中學(xué)術(shù)期刊類(lèi)文獻(xiàn)不少于 8 篇,外文文獻(xiàn)不少于 3 篇。 結(jié)論 結(jié)論作為單獨(dú)一章排列,但不加章號(hào)。 畢業(yè)設(shè)計(jì)(論文)緒論部分字?jǐn)?shù)不多于全部論文字?jǐn)?shù)的 1/4。 緒論 緒論一般作為論文的首篇。摘要中不宜使用公式、圖表,不標(biāo)注引用文獻(xiàn)編號(hào),避免將摘要寫(xiě)成目錄 式的內(nèi)容介紹。 題目 論文題目應(yīng)恰當(dāng)、準(zhǔn)確地反映論文的主要研究?jī)?nèi)容。它既是本科學(xué)生開(kāi)始從事工程設(shè)計(jì)、科學(xué)實(shí)驗(yàn)和科學(xué)研究的初步嘗試,也是學(xué)生在教師的指導(dǎo)下,對(duì)所進(jìn)行研究的適當(dāng)表述,還是學(xué)生畢業(yè)及學(xué)位資格認(rèn)定的重要依據(jù)。第一次使用 VHDL 語(yǔ)言完成如此課題,以前雖然零零碎碎學(xué)習(xí)了相關(guān)相關(guān)內(nèi)容,內(nèi)容簡(jiǎn)單且較分散,經(jīng)過(guò)這次課題,對(duì)這方面的知識(shí)有了較系統(tǒng)的認(rèn)識(shí) ,對(duì) maxplusII 軟件有更多熟悉。 end case。 when 3=shift_out=REG(3)。 END IF。139。 end if。 begin p1:process(clk5,Q) begin if clk539。 shift_out : out std_logic)。 五分頻分頻功能仿真波形圖: ( 6)五位并行轉(zhuǎn)串行:library ieee。 end if。 else q=q+1。139。 architecture exam of CNT5 is signal q:integer range 0 to 4。 use 。 Y=REG。 when others = null。 when 011100=REG=11100。 when 011000=REG=11000。 when110100=REG=10100。 when001110=REG=01111。 when 001011=REG=01011。 when 000111=REG=00111。 when 100011=REG=00011。139。 end PROM6_5。 use 。 A=q。 then q=shift_in amp。 architecture one of SER2PAR6to6 is signal q: std_logic_vector(5 downto 0)。 entity SER2PAR6to6 is port(clk6:in std_logic。 end exam。 end if。139。event and clk6=39。 end。 use 。 六位并到串 仿真波形圖 : 解碼部分( Encode5B6B): 一、解碼原理 解碼器與編碼器基本相同,只是除去組別控制部分。X39。 when 3=shift_out=REG(3)。 END IF。139。 end if。 begin p1:process(clk6,Q) begin if clk639。 shift_out : out std_logic)。 library ieee。 end process。 temp=39。 then if q=101 then q=000。 signal temp: std_logic。 entity CNT6 is port(clk6:in std_logic。 編碼仿真波形如下: ( 4)六分頻器: 六分頻 序列發(fā)生器的輸入時(shí)鐘脈沖六分頻,從而可以實(shí)現(xiàn)每輸入 6BIT 的并行信號(hào)就將其轉(zhuǎn)換給變量。 THEN Q=REG。 END PROCESS P1。 when 11111=REG=001101。 when 11011=REG=001010。 when 10111=REG=010100。 when 10011=REG=010011。 when 01111=REG=001110。 when 01011=REG=001011。 when 00111=REG=000111。 when 00011=REG=100011。139。 WHEN OTHERS=REG=NULL。 when 11100=REG=011100。 when 11000=REG=111000。 when 10100=REG=110100。 when 10000=REG=110001。 when 01100=REG=101100。 when 01000=REG=101011。 when 00100=REG=110101。 then 模式一部分 case Y is when 00000=REG=110010。 END 。 USE 。 end one。 q(4 downto 1)。 begin p1:process(clk5) begin if clk539。 shift_in:in std_logic。 編碼部分 五串 變 五并程序: library ieee。 end process。temp=39。 then 表示檢測(cè) clock 的上升沿 if q=4 then q=0。 signal temp: std_logic。 entity t5 is port(clk5:in std_logic。 ( 1)五分頻器 : 五分頻 序列發(fā)生器的輸入時(shí)鐘脈沖五分頻,從而可以實(shí)現(xiàn)每輸入 5BIT 的串行信號(hào)就同步轉(zhuǎn)換輸出出五位 的并行信號(hào),實(shí)現(xiàn)時(shí)鐘信號(hào)的同步。這種編碼電路多采用可編程只讀存儲(chǔ)器 PROM 查找表的方法實(shí)現(xiàn)。 必須指出,根據(jù)不同目的(例如:直流分量最低或?qū)崿F(xiàn)方法簡(jiǎn)單等)所提出的編碼方案,所對(duì)應(yīng)的編碼表是不同的。由于 6B碼碼長(zhǎng)為偶數(shù),所以D必為偶數(shù),即 D為 {0,2, 2,4, 4,6, 6}。通過(guò)變換,線(xiàn)路碼數(shù)率比原二進(jìn)制碼率提高了 n/m倍。 VHDL 語(yǔ)言 。西 安 工 程 大 學(xué) 光纖通信大作業(yè) 基于 VHDL 語(yǔ)言的 5B6B 編譯系統(tǒng)設(shè)計(jì) 班級(jí): 09 級(jí)通信 02 班 姓名:楊友波 學(xué)號(hào): 40903030219 基于 VHDL 語(yǔ)言的 5B6B 編譯系統(tǒng)設(shè)計(jì) 摘 要 : mBnB 碼是光纖通信系統(tǒng)中常用的碼型之一 , 本次設(shè)計(jì)了一種簡(jiǎn)單實(shí)用的 5B6B 編碼方法 , 并提出了用 A ltera開(kāi)發(fā)系統(tǒng)的硬件描述語(yǔ)言 VHDL 實(shí)現(xiàn)全數(shù)字 5B6B 編譯碼電路的設(shè)計(jì)思想和方法 , 最后給出了波形仿真結(jié)果。 FPGA。 m和 n均為正整數(shù),一般是 n=m+1。首先引入不平衡度 D( Dispartty)的概念,它表示一個(gè)碼字中“ 0”元數(shù)目減去“ 1”元數(shù)目的差值,差值為零的碼字為零不平衡度碼字。這樣編出來(lái)的 6B碼流中最大同符號(hào)連續(xù)數(shù)為 6,“ 0”碼和“ 1”碼出現(xiàn)的概率均等,各占50%,所以可以認(rèn)為沒(méi)有直流漂移。大多數(shù) mBnB 碼都采用兩種模式編碼 , 兩種模式交替使碼字中的不均值為零。因此 , 完整的5B6B 編碼電路包括分頻電路、串并轉(zhuǎn)換電路、編碼電路和并串轉(zhuǎn)換電路組成。 use 。 architecture exam of CNT5 is signal q:integer range 0 to 4。139。 else q=q+1。 end if。 五分頻分頻功能仿真波 ( 2) 串并轉(zhuǎn)換( 5 位串變 5 位并)(用循環(huán)移位實(shí)現(xiàn)) Clk0 來(lái)一次有效, Y 接收串行的數(shù)據(jù)就向右移一位,當(dāng)五分頻的信號(hào) clk5 有效時(shí)把Y 輸出。 entity SER2PAR5to5 is port(clk5:in std_logic。 architecture one of SER2PAR5to5 is signal q: std_logic_vector(4 downto 0)。 then q=shift_in amp。 A=q。 USE 。 Q:OUT STD_LOGIC_VECTOR(5 DOWNTO 0))。039。 when 00011=REG=100011。 when 00111=REG=100111。 when 01011=REG=001011。 when 01111=REG=001110。 when 10011=REG=010011。 when 10111=REG=010111。 when 11011=REG=011011。 when 11111=REG=001101。 if F=39。 when 00010=REG=100010。 when 00110=REG=100110。 when 01010=REG=101010。 when 01110=REG=000110。 when 10010=REG=010010。 when 10110=REG=010110。 when 11010=REG=011010。 when 11110=REG=001100。 end if。139。 END ONE。 use 。 architecture exam of CNT6 is signal q:std_logic_vector(2 downto 0)。139。 else q=q+1。 end if。 六分頻分頻功能仿真波形圖: ( 5)六位 并變串轉(zhuǎn)換 把編碼實(shí)現(xiàn)的 6 位碼,由并行轉(zhuǎn)換為串行輸出。 Q : in std_logic_vector(5 downto 0)。 signal REG:std_logic_vector(5 downto 0)。 then REG=Q。EVENT AND CLK0=39。 END IF。 when 2=shift_out=REG(