freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

汽車尾燈控制電路的pld實現(xiàn)課程設計(文件)

2025-07-15 11:47 上一頁面

下一頁面
 

【正文】 驗箱底板加活動 CPU 板的形式。除此之外,實驗系統(tǒng)提供豐富的樣例實驗,并且提供操作系統(tǒng)移植的源代碼,所有的實驗程序都有豐富詳盡的注釋說明,極大的方便了教學。XSCALE PXA255/270(ARM10 內(nèi)核):主處理器:INTEL 公司 XSCALEPXA255 內(nèi)核, 400M 主頻,32Bit RISC 處理器,具有 32K 指令緩沖,32K 數(shù)據(jù)緩沖,MMU 單元,2k 字節(jié) MiniCache,擴展多媒體 DSP 指令;存儲器:SDRAM(64MB )可以定制擴展到 256MB;FLASH:32MB,Intel Strata 快速頁面讀取模式 Flash,可以定制擴展到 128MB;NANDFLASH:可擴展8MB~64MB;10/100M 以太網(wǎng)接口; USB 接口( Host 或 peripheral)兩種模式;標準的 RS232 接口;實時時鐘( RTC)單元;擴展總線接口,連接所有信號線,可進行應用背板擴展;準 20 針 JTAG 調(diào)試接口;復位電路,電源、運行狀態(tài)指示燈;直流 5V單電源供電,含電源轉(zhuǎn)換電路。在此實驗箱上可開設的實驗項目主要有以下幾類:基于 ARM 系統(tǒng)資源的實驗;基于 uCOSII 操作系統(tǒng)的 ARM 系統(tǒng)實驗;基于 uClinux 操作系統(tǒng)的 ARM 系統(tǒng)實驗;基于 linux 操作系統(tǒng)的 ARM 系統(tǒng)實驗。4.通過 Techv 接口,可以進行系統(tǒng)功能擴展,方便用戶進行二次開發(fā)??删幊踢壿嬈骷撵`活性使得硬件系統(tǒng)設計師在實驗室里用一臺計算機、一套相應的 EDA 軟件和可編程邏輯芯片就可以完成數(shù)字系統(tǒng)設計與生產(chǎn),而其中應用最廣泛的可編程邏輯器件當屬 FPGA 和 CPLD。FPGA 是新一代面向用戶的可編程邏輯器件它的功能密度遠遠超過其他 PLD 器件,一塊 FPGA 可以替代(100200)片標準器件或者(2040)片 GAL 器件,其 I/O 引腳數(shù)多達 100 余條。這種類型結構的特點是通過對 CLB 編程實現(xiàn)邏輯功能;通過對 I/O 單元編程確定輸入或輸出結構;通過對互聯(lián)資源編程實現(xiàn) CLB 之間、CLB 與 I/O 單元之間、 I/O 單元之間的互聯(lián)關系,從而實現(xiàn)用戶所需要的邏輯功能。一般情況下,CPLD 器件中包含三種結構:可編程邏輯宏單元、可編程 I/O 單元、可編程內(nèi)部連線。CPLD 與 FPGA 在價格、性能、邏輯規(guī)模和封裝(包括 EDA 軟件性能)等方面各有千秋,面對不同的開發(fā)項目,使用者應該作出最佳的選擇,表 21 是對 CPLD/FPGA在各個方面的比較。2.CPLD/,使硬件的功能可象軟件一樣通過編程來修改。其投資小,并可以節(jié)省許多潛在的花費。它將一些在數(shù)字電路中常用,但比較復雜的功能塊,如 FIR 濾波器, SDRAM 控制器、PCI 接口等設計成可以修改參數(shù)的模塊,讓其他用戶能夠直接調(diào)用這些模塊,從而大大減輕了工程師的負擔,避免了重復勞動。因此,VHDL 成為硬件描述語言的業(yè)界標準之一。其中,實體是一個 VHDL 程序的基本單元,由實體說明和結構體兩部分組成,實體說明用于描述設計系統(tǒng)的外部接口信號;結構體用于描述系統(tǒng)的行為,系統(tǒng)數(shù)據(jù)的流程或系統(tǒng)組織結構形式。VHDL 進行工程設計的優(yōu)點是顯而易見的。符合市場需求的大規(guī)模系統(tǒng)高效、高速地完成必須有多人甚至多個代發(fā)組共同并行工作才能實現(xiàn)。在 VHDL 語言中,狀態(tài)機有兩種:莫爾型和米勒型。圖 如下: 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 14 頁 共 29 頁 OUTPUTSCLKRESINPUTS組合邏輯 1寄存器圖 米勒型狀態(tài)機模型由于本設計的狀態(tài)變化與輸入信號有關,所以采用米勒型狀態(tài)機。這種方式突破了門級設計的瓶頸,極大地減少了電路設計的時間和可能發(fā)生的錯誤,降低了開發(fā)成本。它所提供的靈活性和高效性是無可比擬的,其豐富的圖形界面,輔之以完整的、可即時訪問的在線文檔,使設計人員能夠輕松、愉快地掌握和使用 Max Plus II 軟件。與傳統(tǒng)的數(shù)字電路實驗相比,Max Plus II 提供靈活多樣的層次化輸入設計功能,具有顯著的優(yōu)勢:1.能進行任意層次的數(shù)字系統(tǒng)設計。仿真器的靈活性很強電路設計完成后,需要驗證電路設計的邏輯功能是否正確。用 Max Plus II 軟件進行邏輯設計的步驟包括:1.根據(jù)所選課題的任務和設計指標要求,確定總體設計方案,畫出總體方案的系統(tǒng)框圖(亦稱預設計階段)2.進行底層單元電路分析及輸入設計、編譯、仿真;3.利用已編譯正確的底層單元電路模塊,畫出頂層電路的原理圖 ,進行編譯調(diào)試和仿真測試;4.撰寫設計報告。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 17 頁 共 39 頁 1 設計說明書6 邏輯綜合2 建立 VHDL 行為模塊型7 測試向量生成3 VHDL 行為仿真8 功能仿真4 VHDLRTL 級建模5 前端功能仿真設計完成11 硬件測試9 結構綜合10 門級時序仿真 3 汽車尾燈控制器詳細設計在 21 世紀的今天,汽車已經(jīng)逐漸為越來越多的人們所擁有,在家庭中的普及率不斷提高,大大的方便了我們的生活。就是使用 VHDL 模型在所有綜合級別上對硬件設計進行說明、建模和仿真測試。3.左轉(zhuǎn)功能:當駕駛?cè)藛T需要轉(zhuǎn)彎按下左轉(zhuǎn)彎鍵時,尾燈有規(guī)律閃爍,提示后面 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 18 頁 共 29 頁 駕駛?cè)藛T和車輛注意。SHACHE 為剎車控制信號,高電平有效,用于提示后面駕駛?cè)藛T和車輛注意。用于汽車左轉(zhuǎn)時提醒后方車輛和人員。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 19 頁 共 29 頁 設計思路根據(jù)該汽車尾燈控制器的功能要求,現(xiàn)劃分為以下 5 個模塊:1.控制模塊2.檢查模塊3.剎車模塊4.左轉(zhuǎn)模塊5.右轉(zhuǎn)模塊每個模塊各自控制相應尾燈的亮滅,控制模塊進行總體控制,尾燈的運行狀態(tài)表如下表 31 所示。TOP_ZUOYI 是左轉(zhuǎn)彎數(shù)控制輸入信號 ,高電平有效。控制模塊的主要作用如下圖 所示 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 20 頁 共 29 頁 左轉(zhuǎn)彎控制信號 右轉(zhuǎn)彎控制信號主控選擇模塊左側(cè)燈選擇控制模塊 右側(cè)燈選擇控制模塊檢查模塊CH R剎車控制信號 檢查控制信號L圖 控制模塊作用圖控制模塊的主要代碼如下:beginif top_youyi=39。139。 thent=l3。elset=000000。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 21 頁 共 29 頁 u2: zuoyi port map(top_clk,top_zuoyi,l2)。檢查模塊的設計思路如下圖 所示。圖 檢查模塊狀態(tài)循環(huán)圖檢查模塊的VHDL程序代碼如下:library ieee。end。) then 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 22 頁 共 29 頁 q=000000。q(4)=not q(4)。q(0)= not q(0)。end。LIGHT[5…0]是汽車尾燈顯示輸出信號,高電平有效,狀態(tài)圖如上表在高電平有效情況下進入高電平(000000—111111)。use 。architecture shift_1 of shache issignal q:std_logic_vector(5 downto 0)。 thenif (shche=39。039。light=q。圖 左轉(zhuǎn)模塊設計圖其中,ZY 是左轉(zhuǎn)彎數(shù)控制輸入信號,高電平有效。圖 右轉(zhuǎn)模塊設計圖其中,YY 是左轉(zhuǎn)彎數(shù)控制輸入信號,高電平有效。圖 右轉(zhuǎn)模塊狀態(tài)循環(huán)圖 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 25 頁 共 29 頁 4 汽車尾燈控制器的仿真 控制模塊的仿真將程序使用 Max Plus II 進行運行并仿真,得到控制模塊仿真圖像如 所示。top_jiancha 是檢查控制輸入信號,高電平有效。 檢查模塊的仿真將程序使用 Max Plus II 進行運行并仿真,得到檢查模塊仿真圖像如 所示。 剎車模塊的仿真將程序使用 Max Plus II 進行運行并仿真,得到剎車模塊仿真圖像如 所示。尾燈顯示由暗到長時間全亮直到控制信號變?yōu)榈碗娖绞亲儼?。lk 是脈沖周期信號。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 27 頁 共 29 頁 右轉(zhuǎn)模塊的仿真將程序使用 Max Plus II 進行運行并仿真,得到右轉(zhuǎn)模塊仿真圖像如 所示。尾燈顯示由右邊三個燈依次向右循環(huán)閃爍,左邊三個燈保持暗狀態(tài)。由此必需設計出五個大的模塊:一是控制模塊,此模塊是總的控制系統(tǒng),將其它模塊的功能融合在一起,便于進行集中的控制和操作;二是檢查模塊,此模塊是一個分模塊,主要功能是對在汽車尾燈整個工作的過程中,在任一狀態(tài)的任一時刻,檢查汽車自身是否處于正常狀態(tài),各電路系統(tǒng)和車身安全是否良好。在整個電路設計完畢并仿真成功后發(fā)現(xiàn),其實整個電路設計實現(xiàn)的功能還是比較實用和易于操作的,而自己也為此付出了許多:從根據(jù)課題要求查找相關資料,學習硬件語言,到自己能夠獨立編寫小程序;從對 Max Plus II 軟件的摸索,一次次修改程序,到仿真得到較滿意的結果;從對截圖工具的搜索下載,論文資料的搜集,到文字排版的學習。在本次設計中最大的收獲是在不斷地發(fā)現(xiàn)問題,分析問題,解決問題的過程中培養(yǎng)了自己的科研能力,為今后的學習工作做了一個良好的鋪墊。light: out std_logic_vector(5 downto 0))。039。) thenq(5)=not q(5)。q(1)= not q(1)。light=q。use 。architecture shift_1 of shache issignal q:std_logic_vector(5 downto 0)。 thenif (shche=39。039。light=q。use 。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 32 頁 共 29 頁 architecture behave of zuoyi istype states is (s0 ,s1,s2)。139。 next_state=s0。 thenlight1=010。end if。end process。light(2)=39。light(0)=39。139。end behave。light : out std_logic_vector(5 downto 0))。signal light1 : std_logic_vector(2 downto 0)。next_state=s1。when s1=if yy=39。else 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 34 頁 共 29 頁 light1=000。next_state=s0 。end if。 thenlight1=010。 next_state=s0。139。architecture behave of youyi istype states is (s0 ,s1,s2)。use 。end if。synch: process(clk)begin 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 33 頁 共 29 頁 if(clk39。light(1)=39。light(4)=light1(1)。next_state=s0 。elselight1=000。when s1=if zy=39。next_state=s1。signal light1 : std_logic_vector(2 downto 0)。light : out std_logic_vector(5 downto 0))。end。end if。) thenq=111111。event and clk=39。light: out std_logic_vector(5 downto 0))。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 31 頁 共 29 頁 剎車模塊的程序library ieee。end if。q(3)=not q(3)。elsif(clk event and clk=39。architecture shift_1 of jiancha issignal q: std_logic_vector(5 downto 0)。use 。終于使汽車尾燈控制器的控制,檢查,剎車,右轉(zhuǎn)等基本功能都實現(xiàn)了,美中不足的是汽車尾燈的各個仿真結果都有一定的延時。四是左轉(zhuǎn)模塊,主要功能是當駕駛?cè)藛T需要轉(zhuǎn)彎按下左轉(zhuǎn)彎鍵時,尾燈有規(guī)律閃爍,提示后面駕駛?cè)?
點擊復制文檔內(nèi)容
黨政相關相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1