freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于cpld的超聲探測器發(fā)射控制電路設(shè)計論文(文件)

2025-07-06 15:21 上一頁面

下一頁面
 

【正文】 ,實現(xiàn)實物功能目標(biāo),按照設(shè)計程序的系統(tǒng)化和構(gòu)造化的基本原則,確保所設(shè)計的程序具有可行性和可讀性。 發(fā)射控制電路,做出電路板,由于本設(shè)計用的是CPLD芯片,其管腳非常的多而且排列的很密,所以不能用萬能板焊接,必須制作出PCB電路板進(jìn)行焊接,實物主要由超聲波發(fā)射頭,超聲波接收頭,LED燈以及AT89C52芯片和CPLDEPM7128SLC8415芯片和各種元器件組成。 發(fā)射電路原理圖 控制發(fā)射信號電路 實驗方法本設(shè)計涉及到單片機(jī)芯片和CPLD芯片,所以先對CW信號發(fā)射的程序以及CPLD芯片控制信號的程序進(jìn)行編寫。 實驗結(jié)果及分析根據(jù)以上的操作方法進(jìn)行驗證,接通電源,將手靜止放在超聲波發(fā)射頭前端,看LED燈是否亮,燈亮表明有FM控制信號發(fā)出,燈不亮則表明沒有FM控制信號發(fā)出。 實物圖上電之后,LED燈亮,表明電路板供電正常。由于CPLD在線編程,從而通過改變VHDL程序可以重新配置到CPLD,計數(shù)器檢測電路具有一定的靈活性和可擴(kuò)展性,當(dāng)檢波輸出條件出現(xiàn)變化時,也能為其提供便利,使電路更能符合要求。在硬件焊接的過程中出現(xiàn)了芯片燒壞的問題,所以在購買元器件的時多買了幾個備用,否則后期再買耽誤時間和精力,所以焊接時必須謹(jǐn)慎,看清電路。由于CPLD在線編程,從而通過改變VHDL程序可以重新配置到CPLD,計數(shù)器檢測電路具有一定的靈活性和可擴(kuò)展性,當(dāng)檢波輸出條件出現(xiàn)變化時,也能為其提供便利,使電路更能符合要求。致 謝在畢業(yè)設(shè)計期間,從設(shè)計題目的選擇,到資料的查找、原理的講解,再到做實物的設(shè)計指導(dǎo),最后到畢業(yè)論文的結(jié)構(gòu)布局和報告內(nèi)容的修改都給予我們耐心,認(rèn)真的輔導(dǎo)。在此,我向我的指導(dǎo)老師表示最誠摯的謝意!我還要感謝我們課題組在一起奮斗的同學(xué),大家在共同的學(xué)習(xí)中,我們互幫互助,愉快地順利完成了我們最后的畢業(yè)設(shè)計! 在論文即將完成之際,我的心情久久無法平靜,從開始選題到順利論文完成,有不知多少多少可敬的師長、同學(xué)、朋友給了我無數(shù)的幫助。 //25MHzinput rst_n。 //2560ms計數(shù)器always (posedge clk or negedge rst_n) if(!rst_n) t = 2639。 assign trig = (t 2439。 //每640ms有1ms高脈沖 ////采集echo的高脈沖對應(yīng)的時鐘周期數(shù)reg[1:0] echo_r。 else echo_r = {echo_r[0],echo}。d10) pulse = 2439。 else 。 else if(t == 2639。 input [23:0] probe。 altsource_probe altsource_probe_ponent ( .probe (probe), .source (sub_wire0) // synopsys translate_off , .clrn (), .ena (), .ir_in (), .ir_out (), .jtag_state_cdr (), .jtag_state_cir (), .jtag_state_e1dr (), .jtag_state_sdr (), .jtag_state_tlr (), .jtag_state_udr (), .jtag_state_uir (), .raw_tck (), .source_clk (), .source_ena (), .tdi (), .tdo (), .usr1 () // synopsys translate_on )。 input [23:0] probe。對本研究提供過幫助和做出過貢獻(xiàn)的個人或集體,均已在文中作了明確的說明并表示了謝意。對本文的研究做出重要貢獻(xiàn)的個人和集體,均已在文中以明確方式標(biāo)明。涉密論文按學(xué)校規(guī)定處理。圖表整潔,布局合理,文字注釋必須使用工程字書寫,不準(zhǔn)用徒手畫3)畢業(yè)論文須用A4單面打印,論文50頁以上的雙面打印4)圖表應(yīng)繪制于無格子的頁面上5)軟件工程類課題應(yīng)有程序清單,并提供電子文檔1)設(shè)計(論文)2)附件:按照任務(wù)書、開題報告、外文譯文、譯文原文(復(fù)印件)次序裝訂。:任務(wù)書、開題報告、外文譯文、譯文原文(復(fù)印件)。作者簽名: 日期: 年 月 日學(xué)位論文版權(quán)使用授權(quán)書本學(xué)位論文作者完全了解學(xué)校有關(guān)保留、使用學(xué)位論文的規(guī)定,同意學(xué)校保留并向國家有關(guān)部門或機(jī)構(gòu)送交論文的復(fù)印件和電子版,允許論文被查閱和借閱。作者簽名:        日  期:         學(xué)位論文原創(chuàng)性聲明本人鄭重聲明:所呈交的論文是本人在導(dǎo)師的指導(dǎo)下獨立進(jìn)行研究所取得的研究成果。endmodule 附錄C 實物圖 畢業(yè)設(shè)計(論文)原創(chuàng)性聲明和使用授權(quán)說明原創(chuàng)性聲明本人鄭重承諾:所呈交的畢業(yè)設(shè)計(論文),是我個人在指導(dǎo)教師的指導(dǎo)下進(jìn)行的研究工作及取得的成果。endmodulemydebug mydebug_inst ( .probe ( probe_sig ), .source ( source_sig ) )。 wire sub_wire0。 // //InSystem Sources and Probes Editor例化mydebug mydebug_inst ( .probe ( pulse_r ), .source ( ) )。 always (posedge clk or negedge rst_n) if(!rst_n) pulse_r = 2439。 else if(echo_r[1]) pulse = pulse+139。d0。always (posedge clk or negedge rst_n) if(!rst_n) echo_r = 239。b1:139。 else t = t+139。input echo。同時也要感謝班級全體同學(xué),正是由于你們的幫助和支持,我才能一個一個克服困難、解明疑惑,直至本文順利完成,在這里請接受我誠摯的謝意!參 考 文 獻(xiàn)[1] ,2005(5):8081. [2] 吳建飛,1997(6):2829.[3] (美),葛紅,黃河,吳繼明譯. VHDL設(shè)計指南[M]. 機(jī)械工業(yè)出版社, 2005.[4] 孫凌逸,高欽和,蔡偉,王明章. 低壓電源驅(qū)動的超聲波發(fā)射接收電路設(shè)計[J]. 儀表技術(shù)與傳感器, 2010.[5] 王杰. 脈沖超聲波的發(fā)射與接收電路設(shè)計[J]. 自動化應(yīng)用, 2011(10).[6] 趙國庫. 引信水中超聲波探測技術(shù)研究[D]. 南京理工大學(xué), 2005.[7] 張卓敏. 基于CPLD的超聲波水位測量系統(tǒng)的研究[D]. 武漢理工大學(xué). 控制工程與科學(xué), 2010.[8] 成冬冬. 水下彈載仿蝙蝠探測器CF/FM發(fā)射信號控制方法及實現(xiàn)[D]. 南京理工大學(xué), 2011.[9] 易立單,宋壽鵬,丁麗娟,肖俊. 基于低壓電源的超聲波發(fā)射電路設(shè)計[D]. 江蘇學(xué)機(jī)械工程學(xué)院, 2008.[10] 王杰. 脈沖超聲波的發(fā)射與接收電路設(shè)計[D]. 中國煤炭科工集團(tuán)重慶研究院,2011.[11] [D].南京:南京理工大學(xué), 2009.[12] 周潤景,圖雅,
點擊復(fù)制文檔內(nèi)容
范文總結(jié)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1