freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl語(yǔ)言的的電子鐘設(shè)計(jì)說(shuō)明書(shū)-wenkub

2022-11-28 21:37:32 本頁(yè)面
 

【正文】 是秒鐘模塊在無(wú)重置信號(hào)( reset/setmin)輸入情況下的仿真圖。 begin u1:second port map(reset=reset, clk=clk, setmin=setmin, enmin=enmin_re, daout=second_daout)。 ponent hour port(clk,reset:in std_logic。 ponent minute port(clk,reset,clk1,sethour:in std_logic。 architecture edawork of clock_top is ponent second port(clk,reset,setmin:in std_logic。 entity clock_top is port(clk,reset,setmin,sethour:in std_logic。 end process。 elsif(count1623)then count=count+1。139。139。 end entity hour。 use 。 end if。039。 else count=0000000。139。 elsif(clk39。 enhour=(enhour_1 or enhour_2)。 architecture edawork of minute is signal count:std_logic_vector(6 downto 0)。 entity minute is port(clk,reset,clk1,sethour:in std_logic。 end edawork。 else count=0000000。 elsif(count1660)then count=count+1。 else count=count+7。139。139。 begin daout=count。 daout:out std_logic_vector(6 downto 0) )。 use 。能實(shí)現(xiàn)各子模塊的功能。 4 )頂層 CLOCK_TOP 模塊:用來(lái)對(duì)元件進(jìn)行例化,以及對(duì)端口進(jìn)行映射。 MINUTE 模塊的時(shí)鐘由 SETMIN 和 SECOND 記到 60 的進(jìn)位兩部分組成。 SECOND 模塊給 MINUTE 的時(shí)鐘由 SETMINUTE 和它本身記到 60的進(jìn)位兩部分組成。規(guī)定每一模 塊的功能和各模塊之間的接口。通過(guò)六位LED七段顯示器顯示出來(lái)。將標(biāo)準(zhǔn)秒信號(hào)送入“秒計(jì)數(shù)器”,“秒計(jì)數(shù)器”采用 60進(jìn)制 計(jì)數(shù)器,每累加 60 秒發(fā)送一個(gè)“分脈沖”信號(hào),該信號(hào)將被送到“分計(jì)數(shù)器” 。 4. 培養(yǎng)團(tuán)隊(duì)合作,分析問(wèn)題,解決問(wèn)題的能力。 1 通信電路 EDA 課程項(xiàng)目 基于 VHDL 語(yǔ)言的的電子鐘設(shè)計(jì) 負(fù)責(zé)人: xxxxxxxxxxx 成 員: xxxxxxxxxxxxx、 xxxxxxxxxxxx 完成日期: xxxxxxxx 2 目 錄 設(shè)計(jì)要求與目的 設(shè)計(jì)原理 設(shè)計(jì) 流程 編程構(gòu)想及項(xiàng)目程序代碼 項(xiàng)目仿真與分析 項(xiàng)目 說(shuō)明 3 Part 1 設(shè)計(jì)要求: 設(shè)計(jì)一個(gè)電子鐘,在輸入時(shí)鐘脈沖的作用下,采用 24 小時(shí)制計(jì)時(shí),可以顯示時(shí)、分、秒,用戶(hù)也可以自行設(shè)置時(shí)間 設(shè)計(jì)目的: 1. 掌握多位計(jì)數(shù)器相連的設(shè)計(jì)方法。 4 Part 2 設(shè)計(jì)原理: 電子時(shí)鐘是一個(gè)將“時(shí)”“分”“秒”顯示于人的視覺(jué)器官的計(jì)時(shí)裝置?!胺钟?jì)數(shù)器”也采用 60 進(jìn)制計(jì)數(shù)器,每累加 60 分發(fā)送一個(gè)“時(shí)脈沖”信號(hào),該信號(hào)將被送到“時(shí)計(jì)數(shù)器”。校時(shí)電路器是用來(lái)對(duì)“時(shí)”“分”“秒”顯示數(shù)字進(jìn)行校時(shí)調(diào)整的,可以根據(jù)當(dāng)前需要的時(shí)間來(lái)設(shè)置電子時(shí)鐘的時(shí)間,使它從這個(gè)時(shí)間開(kāi)始計(jì)時(shí)。 1) SECOND 模塊:用來(lái)對(duì)秒進(jìn)行計(jì)時(shí),當(dāng)記到計(jì)數(shù)器的低四位為 1001時(shí),若高三位不是 101 時(shí),則秒計(jì)數(shù)器加 7,目的是使計(jì)數(shù)值變?yōu)?BCD 碼。 2) MINUTE 模塊:用來(lái)對(duì)分進(jìn)行計(jì)時(shí),當(dāng)記到計(jì)數(shù)器的 低四位為 1001時(shí),若高三位不是 101 時(shí),則分計(jì)數(shù)器加 7,目的是使計(jì)數(shù)值變?yōu)?BCD 碼。 3) HOUR 模塊:用來(lái)對(duì)時(shí)進(jìn)行計(jì)數(shù),當(dāng)記到計(jì)數(shù)器的低四位為 1001時(shí),若高三位小于 010 時(shí),則時(shí)計(jì)數(shù)器加 7,目的是使計(jì)數(shù)值變?yōu)?BCD 碼。同時(shí)整個(gè)計(jì)數(shù)器有清零,調(diào)時(shí),調(diào)分功能 5 Part 3 設(shè)計(jì)流程: 設(shè)計(jì)基本框圖 : 該數(shù)字電子鐘的由一個(gè)秒計(jì)數(shù)器( ) 、一個(gè)分計(jì)數(shù)器 ()、一個(gè)時(shí)計(jì)數(shù)器()組成。其中: 輸入: CLK— 時(shí)鐘脈沖 (可以任意設(shè)置 )、 RESET— 復(fù)位信號(hào) 、 SETMIN— 分加 1 信號(hào) 、SETHOUR— 時(shí) 加 1 信號(hào) 。 use 。 end entity second。 enmin_2=(setmin and clk)。)then count=0000000。)then if(count(3 downto 0)=1001)then if(count1660)then if(count=1011001)then enmin_1=39。 end if。 enmin_1=39。 end if。 7 2. minutes 模塊 library ieee。 enhour:out std_logic。 signal enhour_1,enhour_2:std_logic。 process(clk,reset,sethour) begin if(reset=39。event and clk=39。count=0000000。 end if。after 100 ns。 end process。 use 。 architecture edawork of hour is signal count:std_logic_vector(5 downto 0)。)then count=000000。)then if(count(3 downto 0)=1001)then if(count1623)then count=count+7。 else count=000000。 end edawork。 second_daout,minute_daout:out std_logic_vector(6 downto 0)。 daout:out std_logic_vector(6 downto 0)。 enhour:out std_logic。 daout:out std_logic_vector(5 downto 0))。 u2:minute port map(clk=enmin_re, reset=reset, clk1=clk, sethour=sethour, enhour=enhour_re, daout=minute_daout)。當(dāng)僅有時(shí)鐘信號(hào)輸入 clk時(shí),各信號(hào)線(xiàn)進(jìn)行計(jì)時(shí),當(dāng) 60 秒后,便會(huì)產(chǎn)生一個(gè)進(jìn)位的 enmin 信號(hào)輸入到分模塊中。也不會(huì)產(chǎn)生進(jìn)位信號(hào) enmin。 4,分模塊仿真圖( 有重置信號(hào) ) 該圖為分鐘模塊在有重置信號(hào)( reset/sethour)輸入下的仿真波形圖。 6,時(shí)模塊仿真圖(有重置信號(hào)) 該圖為小時(shí)模塊在有重置信號(hào)( reset)輸入下的仿真波形圖。 8,總程序仿真波形圖(有重置信號(hào)) 該圖為總程序在有重置信號(hào)( reset/sethour/setmin)輸入情況下的仿真波形圖。其中我們?nèi)斯餐M(jìn)行前期資料的收集整理,并由組長(zhǎng) x 進(jìn)行匯總,修改。最后,各資料的整理匯 總及 word 制作由組長(zhǎng)劉昂完成。畢業(yè)論文撰寫(xiě)是本科生培養(yǎng)過(guò)程中的基 本訓(xùn)練環(huán)節(jié)之一,應(yīng)符合國(guó)家及各專(zhuān)業(yè)部門(mén)制定的有關(guān)標(biāo)準(zhǔn),符合漢語(yǔ)語(yǔ)法規(guī)范。不應(yīng)超過(guò) 25字,原則上不得使用標(biāo)點(diǎn)符號(hào),不設(shè)副標(biāo)題。 關(guān)鍵詞 關(guān)鍵詞是供檢索用的主題詞條,應(yīng)采用能覆蓋論文主要內(nèi)容的通用技術(shù)詞條(參照相應(yīng)的技術(shù)術(shù)語(yǔ)標(biāo)準(zhǔn)),一般列 3~ 5個(gè),按詞條的外延層次從大到小排列,應(yīng)在摘要中出現(xiàn)。緒論應(yīng)說(shuō)明 選題的背景、目的和意義,國(guó)內(nèi)外文獻(xiàn)綜述以及論文所要研究的主要內(nèi)容。 論文主體 論文主體是論文的主要部分,要求結(jié)構(gòu)合理,層次清楚,重點(diǎn)突出,文字簡(jiǎn)練、通順。 結(jié)論是對(duì)整個(gè)論文主要成果的歸納,要突出設(shè)計(jì)(論文)的創(chuàng)新點(diǎn),以簡(jiǎn)練的文字對(duì)論文的主要工作進(jìn)行評(píng)價(jià),一般為 400~ 1 000字。 在論文正文中必須有參考文獻(xiàn)的編號(hào),參考文獻(xiàn)的序號(hào)應(yīng)按在正文中出現(xiàn)的順序排列。 16 致謝 對(duì)導(dǎo)師和給予指導(dǎo)或協(xié)助完成論文工作的組織和個(gè)人表示感謝。 文管類(lèi) 論文正文字?jǐn)?shù) 12 000- 20 000字。 論文書(shū)寫(xiě) 本科生畢業(yè)論文用 B5 紙 計(jì)算機(jī)排版、編輯與雙面打印 輸出 。頁(yè)眉應(yīng)居中置于頁(yè)面上部。 頁(yè)碼。中、外文摘要應(yīng)各占一頁(yè),編排裝訂時(shí)放置正文前,并且中文在前,外文在后。) 論文正文 章節(jié)及各章標(biāo)題 論文正文分章、節(jié)撰寫(xiě),每章應(yīng)另起一頁(yè)。 18 層次 層次以少為宜,根據(jù)實(shí)際需要選擇。所引文獻(xiàn)編號(hào)用阿拉伯?dāng)?shù)字置于方括號(hào)“ [ ]”中,如“二次銑削 [1]”。 不得將引用文獻(xiàn)標(biāo)示置于各級(jí)標(biāo)題處。 作者為多人時(shí),一般只列出前 3名作者,不同作者姓名間用逗號(hào)相隔。會(huì)議地 址與出版地相同者省略“出版地”。序號(hào)應(yīng)按文獻(xiàn)在論文中的被引用順序編排。 名詞術(shù)語(yǔ) 科技名詞術(shù)語(yǔ)及設(shè)備、元件的名稱(chēng),應(yīng)采用國(guó)家標(biāo)準(zhǔn)或部頒標(biāo)準(zhǔn)中規(guī)定的術(shù)語(yǔ)或名稱(chēng)。 文管類(lèi)專(zhuān)業(yè)技術(shù)術(shù)語(yǔ)應(yīng)為常見(jiàn)、常用的名詞。 非物理單位(如件、臺(tái)、人、元、次 等)可以采用漢字與單位符號(hào)混寫(xiě)的方式,如“萬(wàn)t 表達(dá)時(shí)刻時(shí)應(yīng)采用中文計(jì)量單位,如“上午 8點(diǎn) 45 分”,不能寫(xiě)成“ 8h45min”。 公式 原則上居中書(shū)寫(xiě)。 文中引用公式時(shí),一般用“見(jiàn)式( 11)”或“由公式( 11)”。 表序一般按章編排,如第 1章第一個(gè)插表的序號(hào)為“表 1- 1”等。表頭中可采用化學(xué)符號(hào)或物理量符號(hào)。表內(nèi)文字和數(shù)字上、下或左、右相同時(shí),不允許用“″”、“同上”之類(lèi)的寫(xiě)法,可采用通欄處理方式(見(jiàn)附錄 4中的例 2)。表題用五號(hào)字,表內(nèi)文字及表的說(shuō)明文字均用五號(hào)字,中文用宋體。 機(jī)械工程圖:采用第一角投影法,應(yīng)符合附錄 5所列有關(guān)標(biāo)準(zhǔn)的規(guī)定。 圖題及圖中說(shuō)明 每個(gè)圖均應(yīng)有圖題(由圖號(hào)和圖名組成)。圖名在圖號(hào)之后空一格排寫(xiě)。 圖題用五號(hào)字,圖內(nèi)文字及說(shuō)明均用五號(hào)字,中文用宋體。 論文中照片圖及插圖 畢業(yè)論文中的照片圖均應(yīng)是原版照片粘貼(或數(shù)碼像機(jī)圖片),照片可為黑白或彩色,應(yīng)主題突出、層次分明、清晰整潔、反差適中。對(duì)于復(fù)雜的引用圖,可采用數(shù)字化儀表輸入計(jì)算機(jī)打印出來(lái)的圖稿。論文題目中文字?jǐn)?shù)不得超過(guò) 25 字 ,要求字體居中 填寫(xiě)姓名 宋體字小三號(hào) , 行距固定值 20磅 , 間距段前、段后分別為 ,要求字體居中 大學(xué) 楷體字小二號(hào),行距固定值 20 磅 , 間距段前、段后分別為 行。行距固定值 20 磅(段落中有數(shù)學(xué)表達(dá)式時(shí),可根據(jù)表達(dá)需要設(shè)置該段的行距) 其它 名 稱(chēng) 格式要求 結(jié)論 標(biāo)題要求同各章標(biāo)題,正文部分:宋體字小四號(hào),行距固定值 20 磅,段落首行左縮進(jìn)2 個(gè)漢字 參考文獻(xiàn) 標(biāo)題要求同各章標(biāo)題,正文部分:宋體字小四號(hào)(英 文用 Times New Roman 體小四號(hào)),行距固定值 20 磅 致謝 標(biāo)題要求同各章
點(diǎn)擊復(fù)制文檔內(nèi)容
高考資料相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1