【正文】
件將系統(tǒng)給定的頻率換算為 ADC0908 的工作頻率; ② 串行通信, 將數(shù)字信號進行存儲和發(fā)送。 ③ 編寫模擬的輸入信號 sig。傳感器傳來的溫度變化的電流信號經(jīng)過 10k 電阻變?yōu)殡妷盒盘?,?jīng)過運算放大器后 ( V=+T/100) 結(jié)合電位器 ( V=) 的調(diào)節(jié) 后參與減法器的運算,最終使得 V0 的數(shù)值和實際溫度的數(shù)值大小相等 。 Conta: 此為外部硬件時鐘信號產(chǎn)生設(shè)備產(chǎn)生 128MHz 的時鐘信號 , 分頻后 向 sig、 CONVERTER、 ADC0809 提供主時鐘信號, 以及 向ADC0809 提供 start 的信號; CONVERTER: 在 64MHz 下,控制 ADC0809, 以及完成 串行轉(zhuǎn)換。 use 。 end sig。139。39 when 3=temp=00111001。102 when 7=temp=01110001。127 when 11=temp=01111101。 when 15=temp=01011001。 when 19=temp=00010011。217 when 23=temp=11000111。154 when 27=temp=10001111。129 when 31=temp=10000011。 when 35=temp=10100111。 when 39=temp=11101101。 end case。 Fow Smmay: Conta 模塊: library ieee。 庫和程序包的調(diào)用 entity conta is 集線器,將輸入輸出集中到一個模塊上 ,同時給 adc0809 啟動信號 port(a_in : in std_logic_vector(7 downto 0)。 定義一個輸出端口 d clk_in:in std_logic。 實體 conta 描述結(jié)束 architecture behav of conta is 結(jié)構(gòu)體名為 conta signal q:std_logic_vector(4 downto 0):=00000。 process(clk_in) begin if (clk_in39。 if q=00010 then start_out=39。 end if。 end process。 use 。 eoc,oe:out std_logic。 LOCK,clk_out:out std_logic)。 signal t2:integer range 0 to 1:=0。139。039。039。039。039。039。039。039。039。039。039。039。039。039。039。139。139。event and clk=39。 if t1=01111111 THEN clk_out=39。 end if。 end process。 程序包的調(diào)用 use 。 定義輸入端口 ALK,EOC ADC_DISPLY : out std_logic_vector(7 downto 0)。 實體描述結(jié)束 architecture behav of ADC0809 is 結(jié)構(gòu)體名為: ADC0809 type states is (st0,st1,st2,st3,st4)。 signal V0,V1,V2,V3,V4,V5,V6,V7 : integer range 0 to 1:=0。 begin data := data_in。 return data_out。 when st1=next_state=st2。 then V0=0。V4=0。 END IF。 buf5=(buf6V6*128)*2。 buf3=(buf4V4*128)*2。 buf1=(buf2V2*128)*2。 when st2= IF(EOC=39。 END IF。139。 else REGL(1)=39。039。 if V3=0 then REGL(3)=39。 end if。139。 else REGL(5)=39。039。 if V7=0 then REGL(7)=39。 end if。 ELSIF LOCK=39。 next_s