freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

簡易數(shù)字頻率計設(shè)計論文cpldvhdl)-wenkub

2023-06-18 01:56:55 本頁面
 

【正文】 頻率計組成模塊框圖 頻率測量模塊 直接測量法 大家都知道,如果根據(jù)基本原理實現(xiàn)對頻率的數(shù)字化測量,是一種直接測量的手段,這種方法比較簡單,如果能滿足設(shè)計要求的話,應(yīng)該作為首要的選擇方案。這種方法可以在一定程度上彌補(bǔ)方法(1)的不足,但是難以確定最佳分測點(diǎn),而且電路實現(xiàn)比較復(fù)雜。圖中,預(yù)置門控制信號是寬度為Tpr的一個脈沖,CNT1和CNT2是兩個可控計數(shù)器。 CLKENCLK CNT2 OUT2CLRCLKENCLK CNT1 OUT1CLRD Q預(yù)置門控信號標(biāo)準(zhǔn)頻率信號 被測信號清零信號 等精度測頻法原理框圖設(shè)在一次預(yù)置門時間Tpr內(nèi)對被測信號的計數(shù)為Nx,對標(biāo)準(zhǔn)的計數(shù)值為Nx,則下式成立: fx / Nx = fs / Ns () 由此可推得 fx = fs Nx / Ns () 相對誤差公式 δ = 177。 預(yù)置門時間信號與閘門時間信號 預(yù)置門的概念與傳統(tǒng)的閘門的概念是不同的. 預(yù)置門是指同時啟動或同時停止標(biāo)準(zhǔn)頻率信號計數(shù)器和被測信號計數(shù)器的門控信號. 預(yù)置門的概念用于高精度恒定誤差測頻/周期方法中, 并且稱預(yù)置門的時間寬度為預(yù)置門時間. 高精度恒定誤差測頻方法測量精度與預(yù)置門時間和標(biāo)準(zhǔn)頻率有關(guān), 與被測信號的頻率無關(guān). 在預(yù)置門時間和閘門時間相同而被測信號頻率不同的情況下, 高精度恒定誤差頻率測量法的測量精度不變, 而直接測頻法的精度隨著被測信號的增加而接近線性的增大. 高精度恒誤差周期測量方法 分析思路和結(jié)果均對高精度恒誤差頻率測量相似或相同. 脈沖寬度測量理論誤差分析 根據(jù)方案中的脈沖寬度測量方法, 分析脈沖寬度測量誤差. 設(shè)被測信號的脈沖寬度為Twxe, 標(biāo)準(zhǔn)頻率信號頻率為fs, 則脈沖寬度的測量值為: Twx = Nx / fs () 在一次測量中, 對標(biāo)準(zhǔn)頻率信號的計數(shù)值Nx可能產(chǎn)生177。晶體震蕩器主要由晶體震蕩電路和緩沖放大電路組成。其中,VT1集電極回路由電感L1以及電容C4,C5組成并聯(lián)諧振回路,調(diào)節(jié)空氣可變電容C4,使得回路諧振在震蕩頻率60MHz上,電感L3和電容C7組成去耦電路,其作用在于濾除電源中的高次諧波,以保證頻率波形的純度。這種顯示塊有陰極與共陽極兩種。 八位LED動態(tài)顯示器電路 本系統(tǒng)的LED的驅(qū)動電流由7片串級的串入并出的移位寄存器74LS164提供,基本可以保證LED的亮度,由工作于同步位移寄存器0模式的串行口輸出顯示數(shù)據(jù),用一片74LS164作為顯示單位以及工作狀態(tài)的指示,數(shù)據(jù)與7位顯示串聯(lián),即第8位顯示數(shù)據(jù)。 在D觸發(fā)器的輸入端START為低電平時, 當(dāng)FIN端輸入一個脈沖上升沿, FINCLK1和FSDCLK2的信號通道被切斷. , 輸出8位數(shù)據(jù)總線, 分4次將32位數(shù)據(jù)全部讀出. CONT1的設(shè)計是用VHDL語言實現(xiàn)的. 我們在EDA設(shè)計中嚴(yán)格采用模塊設(shè)計法, 總體設(shè)計采用原理圖輸入方式, 每個子模塊都采用VHDL語言描述. 脈沖寬度測量和占空比測量電路 測頻率與測周期部分控制電路 本模塊的電路設(shè)計根據(jù)第二部分所述的脈沖寬度測量原理, . 輸入到本模塊的被測量信號是經(jīng)過信號處理電路處理過后的被測信號, 該信號的上升沿和下降沿信號對應(yīng)于未經(jīng)處理時的被測信號的50%幅度時上升沿和下降沿信號. 信號從FIN端輸入, CLR為本模塊電路的工作初始化信號輸入端. START為本電路的工作使能端, .測量脈沖寬度采用以下幾步:① 向CONTRL2的CLR端輸送一個脈沖以進(jìn)行電路的工作狀態(tài)初始化.② , 表示進(jìn)入脈沖寬度測量, 這時CONT2的輸入信號為PULamp。 CLR:IN STD_LOGIC。 END ENTITY CNT10。IF THEN CQI=0。 THEN THEN IF CQI9 THEN CQI=CQI+1。 END IF。 PROCESS (CQI) ISBEGIN ELSE CARRY_OUT=39。END IF。 CQ=CQI。PORT(LOAD:IN STD_LOGIC。 ARCHITECTURE ART OF REG32B IS BEGINPROCESS(LOAD,DIN)ISBEGINIF LOAD39。 END ARCHITECTURE ART。 PORT (CLK:IN STD_LOGIC。 BEGINPROCESS(CLK)ISBEGIN139。 END IF 。IF CLK=39。 THENCLR_CNT=39。 use 。port(clk:in std_logic。 end entity display。 event and clk=39。if t=111 thent=000。 139。 end if。 =t。 when 001=bcd=dout(7 downto 4)。 when 101=bcd=dout(23 downto 20)。 when 0011=seg=01001111。 when 0111=seg=00000111。 when 1011=seg=01111100。 when 1111=seg=01110001。 end case。end architecture art。 :out std_logic_vector(2 downto 0)。 CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)。 END COMPONENT CNT10。 LOAD:OUT STD_LOGIC)。ponent display is :out std_logic_vector(2 downto 0)。 SIGNAL S1,S2,S3,S4,S5,S6,S7,S8:STD_LOGIC。 U2:CNT10 PORT MAP(CLK=S1,CLR=SC,ENA=SE,CQ=SD(7 DOWNTO 4),CARRY_OUT=S2)。U6:CNT10 PORT MAP(S5,SC,SE,SD(23 DOWNTO 20),S6)。U10:DISPLAY PORT MAP(FSIN,S9,COM,SEG)。在調(diào)試的實驗中,簡易數(shù)字頻率計能夠準(zhǔn)確的測試頻率和周期,而且測量精度基本上滿足指標(biāo)的要求。文中設(shè)計的數(shù)字頻率計,無需選擇量程便可實現(xiàn)寬頻段高精度的頻率測量,同時在基本電路模塊基礎(chǔ)上,不必修改硬件電路,通過修改VHDL源程序,增加一些新功能,滿足不同用戶的需要,實現(xiàn)數(shù)字系統(tǒng)硬件的軟件化。感謝學(xué)校在畢業(yè)設(shè)計期間為我提供做好畢業(yè)設(shè)計的條件以及機(jī)房全體老師的辛勞工作和支持!感謝電子學(xué)院老師和同窗們的關(guān)心和支持!感謝所有幫助過我的人們!參考文獻(xiàn) ,[M].北京:科學(xué)出版社,2001.[M].成都:電子科技大學(xué)出版社,2000.[M].成都:電子科技大學(xué)出版社,2000.、開發(fā)與應(yīng)用[M].西安:西安電子科技大學(xué)出社,2000.,陳海燕,[J].電測與儀表,2002,(2):2123.,周浩洋,(Lattice)的多功能數(shù)字頻率計 , Nagle,Bill ,J. David Irwin. Digital Logic Circuit Analysis amp。致 謝在即將走出大學(xué)校園步入社會的最后幾個月,利用我人生當(dāng)中大學(xué)四年的時間在各位老師諄諄的指導(dǎo)下學(xué)到專業(yè)知識,我認(rèn)真地做了畢業(yè)設(shè)計論文簡易數(shù)字頻率計。使用單片機(jī)完成整個測量電路的控制,數(shù)據(jù)處理和顯示輸出。U8:CNT10 PORT MAP(S7,SC,SE,SD(31 DOWNTO 28),S8)。U4:CNT10 PORT MAP(S3,SC,SE,SD(15 DOWNTO 12),S4)。BEGINU0:TESTCTL PORT MAP(CLK=CLK,TSTEN=SE,CLR_CNT=SC,L
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1