freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

[工學(xué)]vhdl硬件描述語言與數(shù)字系統(tǒng)開發(fā)第3章-文庫吧

2025-09-17 18:22 本頁面


【正文】 功 能 說 明 實(shí)體 (ENTITY) 實(shí)體 (ENTITY)用來描述設(shè)計(jì)單元的外部信息,即為設(shè)計(jì)單元命名,并說明端口。 實(shí)體 (ENTITY)說明語句的一般格式為: ENTITY 實(shí)體名 IS [類屬參數(shù)說明 ] [端口說明 ] END 實(shí)體名; ( 斜體表示關(guān)鍵字;實(shí)體名為設(shè)計(jì)單元命名; [… ]表示可選項(xiàng);類屬參數(shù)說明用以指定某些全局參數(shù)值;端口說明則定義了每個(gè)外部引腳的名稱、模式和數(shù)據(jù)類型。 ) 端口說明的一般格式為: PORT( 端口名 {,端口名 }:端口模式 數(shù)據(jù)類型; . . . 端口名 {,端口名 }:端口模式 數(shù)據(jù)類型 ); 例如 ,47譯碼器的端口描述: ENTITY YMQ4_7 IS PORT (Q1,Q2,Q3,Q4 : IN BIT。 Y : OUT STD_LOGIC_VECTOR(7 DOWNTO 1))。 END YMQ4_7。 端口模式 模式說明 IN 輸入端口,只讀模式, 變量或信號通過該端口讀入 OUT 輸出端口,單向賦值模式, 信號通過該端口輸出 BU F F ER 雙向端口,具有讀功能的輸出模式, 只能有一個(gè)驅(qū)動源 IN OU T 雙向端口,既可流入,又可流出, 信號可通過該端口讀入或輸出 設(shè)計(jì)實(shí)體端口模式說明 端口模式( MODE) 端口模式 ( MODE) 有以下幾種類型: IN ; OUT; INOUT ; BUFFER 端口模式可用下圖說明: ( 黑框代表一個(gè)設(shè)計(jì)或模塊 ) IN OUT BUFFER INOUT 實(shí)體 (ENTITY)與電路圖設(shè)計(jì)中的電路元件符號 (Symbol)相對應(yīng)。 Symbol規(guī)定了電路元件的符號名、接口關(guān)系和數(shù)據(jù)類型,同樣地, ENTITY也具有這樣的功能。 下面 RS觸發(fā)器的例子可以看出兩者間的對應(yīng)關(guān)系。 (右邊是傳統(tǒng)設(shè)計(jì)中的符號圖描述,左邊是 VHDL的設(shè)計(jì)描述 ) ENTITIE與 SYMBOL對應(yīng) 關(guān)系 RSFFSetQBQReset *實(shí)體語句 用 關(guān)鍵詞 ENTITY開頭,實(shí)體名 rsff是 設(shè)計(jì)描述 的符號名,在結(jié)束實(shí)體語句 END rsff; 之間,是實(shí)體說明語句。 *在 ENTITY語句的實(shí)體說明部分,常用 PORT語句 描述實(shí)體對外界連接的端口 (數(shù)目、 名稱、 方向和數(shù)據(jù)類型 ) ,實(shí)體 rsff有四個(gè)端口, IN模式是 Set/Reset, 輸出 Q/QB是 BUFFER(緩沖 )模式,都為BIT類型。 對 rsff實(shí)體寫一段 VHDL描述,即: ENTITY rsff IS PORT ( set, reset : IN BIT。 : BUFFER BIT)。 END rsff。 ENTITIE與 SYMBOL對應(yīng) 關(guān)系舉例 ENTITY tm16 IS 實(shí)體 PORT (ci : IN std_logic。 nreset : IN std_logic。 clk : IN std_logic。 co : out std_logic。 qt : buffer std_logic_vector(3 downto 0) )。 END tm16。 信號名 端口類型 端口模式 構(gòu) 造 體 (architecture) 構(gòu)造體說明語句描述設(shè)計(jì)功能,即說明設(shè)計(jì)單元的具體行為或結(jié)構(gòu)。 構(gòu)造體 (ARCHITECTURE)說明語句的一般格式為: ARCHITECTURE 構(gòu)造體名 OF 實(shí) 體名 IS [構(gòu)造體說明部分 ] BEGIN [并行處理語
點(diǎn)擊復(fù)制文檔內(nèi)容
教學(xué)課件相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1