【正文】
us efficacy, η):單位:流明每瓦[lmW]代表光源將所消耗的 電能轉(zhuǎn)換成光的效率;色溫(Color Temperature):單位:絕對(duì)溫度(Kelin,K)一個(gè)光源的色溫被定義為與其具有相同光色的標(biāo)準(zhǔn)黑色(black body radiator)本身的絕對(duì)溫度值,此溫度可以在色度圖上的普朗克軌上找到其對(duì)應(yīng)點(diǎn)。以發(fā)出光色為暖白色的普通白熱燈泡為例,其色溫為2700K,而晝光色日光燈的色溫為6000K。光色主要可分成三大類:暖色:3300K中間色:3300至5000K晝光色:5000K即使光色相同,燈種鍵也可能以為其發(fā)光的光線光譜組成不同而有很大的顏色性表現(xiàn)差異。值得注意的是大功率LED光源往往是連燈具一體的,而且壽命超長(zhǎng),所以性價(jià)比是很高的。而普通燈泡耗能,熒光管節(jié)能燈含汞,最終LED燈具會(huì)占據(jù)主要家用光源市場(chǎng)。2.1多路彩燈的設(shè)計(jì)方案主要模塊組成:時(shí)序控制電路模塊和顯示電路模塊,時(shí)序控制電路是根據(jù)輸入信號(hào)的設(shè)置得到相應(yīng)的輸出信號(hào),并將此信號(hào)作為顯示電路的時(shí)鐘信號(hào);顯示電路輸入時(shí)鐘信號(hào)的周期,有規(guī)律的輸出設(shè)定的六種彩燈變化類型。2.2總體設(shè)計(jì)思路采用層次設(shè)計(jì)法,頂層采用原理圖設(shè)計(jì),底層采用VHDL 設(shè)計(jì)。底層分為三個(gè)子電路模塊,分別是循環(huán)彩燈控制(子電路模塊、)二選一數(shù)選器(my_mux21b)時(shí)序電路模塊。它主控著8 路彩燈的花型種類。按設(shè)計(jì)要求要實(shí)現(xiàn)六種花型010101010101010001000、11001100、001100100010001,用S0、SSSSSS6 來表示7 種循環(huán),以1表示燈亮,以0表示燈滅狀態(tài)的狀態(tài)。實(shí)現(xiàn)了設(shè)計(jì)與工藝無關(guān),可移植性好,上市時(shí)間快,成本低,ASIC移植等優(yōu)點(diǎn)。clr為清零輸入端,高電平有效。led為花型狀態(tài)輸出的,即接發(fā)光二極管。S0為初始狀態(tài),當(dāng)clr清零端為高電平有效時(shí),八個(gè)led發(fā)光二極管將保持此時(shí)的花型狀態(tài),直到重新為低電平時(shí)。library ieee。entity xs isport( clk: in std_logic 。 復(fù)位信號(hào) led: out std_logic_vector(7 downto 0))。architecture rtl of xs istype states is 狀態(tài)機(jī)狀態(tài)列舉(s0,s1,s2,s3,s4,s5,s6)。begin process(clk,clr)begin if clr=39。 then state=s0。elsif clk39。139。 when s1= state=s2。 when s2= state=s3。 when s3= state=s4。 when s4= state=s5。 when s5= state=s6。 when s6= state=s1。end case。end process。程序編譯成功波形仿真圖clr為清零信號(hào),高電平有效。led表示花型的輸出狀態(tài),rent_state表示花型狀態(tài)為第幾狀態(tài)。其過程和設(shè)計(jì)思路一致。use 。entity kz is 定義實(shí)體port( clk: in std_logic。 復(fù)位信號(hào) opt: in std_logic。 end kz。signal counter: std_logic_vector(1 downto 0)。139。039。elsif clk39。139。039。 clk_tmp=not clk_tmp。139。 else 八分頻,慢節(jié)奏 if counter=11 then counter=00。 else counter=counter+39。 end if。end if。clkout=clk_tmp。程序編譯如圖所示從圖中可以看出,當(dāng)復(fù)位信號(hào)為高電平時(shí),電路時(shí)鐘輸出清零,當(dāng)快慢信號(hào)OPT為低電平時(shí),時(shí)序控制電路四分頻起作用,當(dāng)快慢信號(hào)OPT為高電平時(shí),時(shí)序控制電路八分頻起作用,仿真結(jié)果符合電路要求。use 。 clr: in std_logic。 led: out std_logic_vector(7 downto 0))。architecture rtl of cotop is ponent kz is 定義元件:時(shí)序控制電路 port( clk: in std_logic。 opt:in std_logic。end ponent kz。 clr: in std_logic。end ponent xs。begin u1:kz port map(clk,clr,opt,clk_tmp)。 例化顯示電路模塊end rtl。用VHDL進(jìn)行設(shè)計(jì),首先應(yīng)該理解,VHDL語言是一種全方位硬件描述語言,包括系統(tǒng)行為級(jí),寄存器傳輸級(jí)和邏輯門級(jí)多個(gè)設(shè)計(jì)層次。應(yīng)充分利用VHDL“自頂向下”的設(shè)計(jì)優(yōu)點(diǎn)以及層次化的設(shè)計(jì)概念,層次概念對(duì)于設(shè)計(jì)復(fù)雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡(jiǎn)單的單元入手,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng)。電子技術(shù)課程設(shè)計(jì)是配合電子技術(shù)基礎(chǔ)課程與實(shí)驗(yàn)教學(xué)的一個(gè)非常重要的教學(xué)環(huán)節(jié)。用VHDL進(jìn)行設(shè)計(jì),首先應(yīng)該理解,VHDL語言是一種全方位硬件描述語言,包括系統(tǒng)行為級(jí),寄存器傳輸級(jí)和邏輯門級(jí)多個(gè)設(shè)計(jì)層次。通過使用EDA編程既方便有快捷的實(shí)現(xiàn)了程序本次設(shè)計(jì)的程序已經(jīng)在硬件系統(tǒng)上得到了驗(yàn)證 ,實(shí)驗(yàn)表明 ,此設(shè)計(jì)方法能夠滿足多種不同花樣彩燈的變化要求 ,并且該方法便于擴(kuò)展不同變化模式的彩燈花樣。它不但能鞏固我們已所學(xué)的電子技術(shù)的理論知識(shí),而且能提高我們的電子電路的設(shè)計(jì)水平,還能加強(qiáng)我們綜合分析問題和解決問題的能力,進(jìn)一步培養(yǎng)我們的實(shí)驗(yàn)技能和動(dòng)手能力,啟發(fā)我們的創(chuàng)新意識(shí)及創(chuàng)新思維。老師們淵博的知識(shí)、嚴(yán)謹(jǐn)求實(shí)的治學(xué)態(tài)度及敬業(yè)精神,給我留下了深刻的印象,并將在我今后的人生道路上產(chǎn)生深遠(yuǎn)的影響。感謝我的指導(dǎo)老師老師們,在為其十三周的設(shè)計(jì)里,無形中塑造了我生命的氣質(zhì)、生活的方式,也練就了我樂觀的心態(tài)和一顆感恩的心。在指導(dǎo)老師的帶領(lǐng)下,十三周的努力時(shí)光將成為我生命中不可缺少的珍貴禮物。在這十三周里,我收獲快樂并且成長(zhǎng),中間夾雜的汗水都是我人生中最寶貴的財(cái)富。當(dāng)然,在我課設(shè)間,還要感謝我同組的同學(xué)一直以來對(duì)我無怨無悔的鼓勵(lì)、支持、關(guān)愛、尊重和信任,在我工作上遇到困難時(shí),是您們幫我抵御挫折,謝謝您們。在此向兩位導(dǎo)老師致以崇高的敬意和衷心的感謝!你們淵博的知識(shí)、嚴(yán)謹(jǐn)治學(xué)風(fēng)范、兢兢業(yè)業(yè)的敬業(yè)精神讓我受益匪淺! 再一次感謝所有關(guān)心我、幫助我的人!參考文獻(xiàn)《數(shù)字電子技術(shù)基礎(chǔ)》閻石 高等教育出版社,《數(shù)字設(shè)計(jì):原理與實(shí)踐》(美)Wakerly, 林生譯 機(jī)械工程出版社,《EDA技術(shù)與VHDL電路開發(fā)應(yīng)用實(shí)踐》劉欲曉 電子工業(yè)出版社,《電子技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì)》畢滿清 機(jī)械工業(yè)出版社,《電子設(shè)計(jì)自動(dòng)化快速入門教程》李平 高等教育出版社,《VHDL語言及其應(yīng)用》付永慶 高等教育出版社,《電子技術(shù)基礎(chǔ)》康華光 高等教育出版社,《VHDL數(shù)字電路設(shè)計(jì)教程》Volnei 電子工業(yè)出版社,10.11.12.