freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl多功能電子鐘設(shè)計(jì)說(shuō)明書(shū)-在線瀏覽

2025-01-20 21:38本頁(yè)面
  

【正文】 unt4=99 then key4out= 39。延時(shí) 20ms后給 key4out 一個(gè)上升沿 8 else key4out=39。其余時(shí)間 key4out都為 39。 end if。 else count4:=0。 END PROCESS pkey4。 我們?cè)诜抡鏁r(shí),由于消抖時(shí)時(shí)鐘周期要求過(guò)大,我們對(duì)消抖時(shí)間對(duì)應(yīng)的時(shí)鐘周期進(jìn)行改動(dòng),將其改小,從而從仿真中可以看出消抖的效果,我們?cè)O(shè)消抖的延遲時(shí)間為八個(gè)時(shí)鐘周期,則仿真結(jié)果如下: 從圖中看 出,已經(jīng)實(shí)現(xiàn)消抖。當(dāng) key4 按下,且 key3out=’1’時(shí)進(jìn)入手動(dòng)增值模式。 自動(dòng)增值和手動(dòng)增值都要在更新了要加的值之后才進(jìn)行上述的增值。 小時(shí)部分:將小時(shí)分成兩位分別設(shè)置,當(dāng)小 時(shí)十位為 0010,個(gè)位是 0011,即為 23 點(diǎn)的時(shí)候,再加一就將十位個(gè)位都設(shè)置為 0000。而小時(shí)個(gè)位為從 0000到 1001(即為 9)循環(huán)運(yùn)行。 時(shí)間設(shè)置時(shí),選定設(shè)置位, key4in 按下時(shí),選定位加一。而其 他情況,十位按照 0000 到 0101(0 到 5)循環(huán),個(gè)位從 0000 到 1001( 0 到 9 9) 循環(huán)。時(shí)間設(shè)置時(shí),選定設(shè)置位, key4in 按下時(shí),選定位加一。而其他情況,十位按照 0000 到 0101 循環(huán),個(gè)位從 0000 到 1001(0 到 9)循環(huán)。時(shí)間設(shè)置時(shí),選定設(shè)置位, key4in 按下時(shí),選定位加一。對(duì)分鐘個(gè)位,來(lái)一個(gè)時(shí)鐘的上升沿,檢測(cè)按鍵輸出狀態(tài),當(dāng)key1out=39。 and key2out=39。 and key3out=39。 時(shí) 每輸入一個(gè) key4in 信號(hào) 脈沖 使得 key4out 來(lái)上升沿 則鬧鐘設(shè)置的分鐘個(gè)位加 1,當(dāng)個(gè)位加到 9,再加一次則復(fù)位為 0;下一個(gè)進(jìn)程檢測(cè)分鐘十位,每 來(lái)一個(gè)時(shí)鐘上升沿,在對(duì)應(yīng)的按鍵狀態(tài)下,當(dāng)個(gè)位為 9 時(shí),再來(lái)一個(gè) key4out 上升沿 脈沖則分鐘十位加 1,當(dāng)加到十位為 5,個(gè)位為 9,則再加一次就復(fù)位為 0。 自動(dòng)增值則是由每一個(gè) 1Hz 的 clk 上升沿觸發(fā),每秒加一次。 use 。 use 。 key1out:in std_logic。 key3out:in std_logic。 hou1a:buffer std_logic_vector(3 downto 0)。 min1a:buffer std_logic_vector(3 downto 0)。 seth1a: buffer std_logic_vector(3 downto 0)。 10 setm1a: buffer std_logic_vector(3 downto 0)。 hou1b:buffer std_logic_vector(3 downto 0)。 min1b:buffer std_logic_vector(3 downto 0)。 sec1b:buffer std_logic_vector(3 downto 0)。 hou1ain:in std_logic_vector(3 downto 0)。 要把修改后的時(shí)鐘數(shù)據(jù)賦值給“ b”代表顯示模式的數(shù)據(jù)(即刷新) min1ain:in std_logic_vector(3 downto 0)。 hou1bin:in std_logic_vector(3 downto 0)。 min1bin:in std_logic_vector(3 downto 0)。 end entity shijian2。已在電路圖上連線 hou2ain=hou2a。 min2ain=min2a。 hou2bin=hou2b。 min2bin=min2b。039。 更新 a 的值 hou2a=hou2bin。 min2a=min2bin。event and key4out=39。) then if(key3out=39。AND key2out=39。 AND key1out=39。)then 校時(shí)鐘小時(shí)位,手動(dòng)加 1 脈沖 if hou2a=0011 and hou1a=0010 then 檢查進(jìn)位 ,“ a代表手動(dòng)修改模式的數(shù) 據(jù) 11 hou2a=0000。 elsif hou2a=1001 then hou1a=hou1a+1。 else hou2a=hou2a+1。 elsif (key3out=39。AND key2out=39。 AND key1out=39。)then校時(shí)鐘分鐘位,手 動(dòng)加 1 脈沖 if min2a=1001 and min1a=0101 then 檢查進(jìn)位 min2a=0000。 elsif min2a=1001 then min2a=0000。 else min2a=min2a+1。 elsif (key3out=39。AND key2out=39。 AND key1out=39。)then 校鬧鐘小時(shí)位,手動(dòng)加 1 脈沖 if seth2a=0011 and seth1a=0010 then 檢查進(jìn)位 seth2a=0000。 elsif seth2a=1001 then seth1a=seth1a+1。 else seth2a=seth2a+1。 elsif (key3out=39。AND key2out=39。 AND key1out=39。)then 校鬧鐘分鐘位,手動(dòng)加 1 脈沖 if setm2a=1001 and setm1a=0101 then 檢查進(jìn)位 setm2a=0000。 elsif setm2a=1001 then setm2a=0000。 else setm2a=setm2a+1。 end if。 end process。139。039。 “ b”代表顯示模式的數(shù)據(jù) hou2b=hou2ain。 min2b=min2ain。039。event and clk=39。) then if sec2b=1001 then sec2b=0000。 if sec1b=0101 then sec1b=0000。 if min2b=1001 then min2b=0000。 if min1b=0101 then min1b=0000。 hou2b=0000。 hou1b=hou1b+1。 end if。 end if。 ELSE sec2b=sec2b+1。 END IF。 end process。 仿真: (由于仿真限制,仿真用了 clk 代替 clk1) 1)手動(dòng)增值 2)自動(dòng)增值 ( 4)按鍵顯示發(fā)光 LED 模塊 : 此模塊主要功能是幫助確認(rèn)按鍵所處的狀態(tài),從而確定數(shù)字鐘顯示的內(nèi)容。 仿真結(jié)果: 14 程序: LIBRARY IEEE。 USE 。 architecture behave of ledkey is key2out:IN STD_LOGIC。 process(key1out,key2out,key3out) key4out:IN STD_LOGIC。 if key1out=39。 then led1out=39。 led2out: out STD_LOGIC。139。 end if。 if key2out=39。 then led2out=39。 end entity。139。 if key3out=39。 then led3out=39。 else led3out=39。 end if。039。039。139。 end process。 仿真結(jié)果: 15 ( 5) 聲音 模塊: 本模塊只包括 1 個(gè)進(jìn)程, 進(jìn)程分為 3 個(gè)判斷的部分。 第一個(gè)部分判斷是否處于時(shí)鐘顯示模式且 key4是否按下,如果都滿足則賦高電平給蜂鳴器輸出端口 beep,使其不發(fā)出聲音。如果期間按鍵4按下 key4out=39。則停止發(fā)聲。 到了 59 分, 52秒 , 54 秒,56 秒時(shí)把 clk3 賦給 beep, 使其發(fā)聲, 58 秒時(shí) 賦 一個(gè)高電平‘1’給 beep, 達(dá)到最后一個(gè)音的音調(diào)變高的效果 。 程序: library ieee。 use 。 entity alarm is port( key1out:in std_logic。 key3out:in std_logic。 seth1a:in std_logic_vector(3 downto 0)。 setm1a:in std_logic_vector(3 downto 0)。 hou1b:in std_logic_vector(3 downto 0)。 min1b:in std_logic_vector(3 downto 0)。 sec1b:in std_logic_vector(3 downto 0)。 clk3:in std_logic。 end entity alarm。event and key4out=39。 then手動(dòng)按停聲音 if(key3out=39。 AND key2out=39。 AND key1out=39。) or (key3out=39。 AND key2out=39。 AND key1out=39。)then beep=39。 end if。 if(seth1a=hou1b and seth2a=hou2b and setm1a=min1b and setm2a=min2b and sec1b=0000 and sec2b=0000)then 鬧鐘時(shí)間到 beep=39。 end if。從 52,54,56 秒響 elsif(sec2b=1000) then beep=39。58 秒的音調(diào)變高 else beep=39。59 秒自動(dòng)停止聲音 end if。139。 end if。 end one。 17 ( 6) 譯碼顯示以及閃爍模塊 : 思路: 此模塊包含 4位數(shù)碼管位選,段選,判斷輸出顯示狀態(tài)或者設(shè)置(修改)狀態(tài),判斷是否閃爍等功能。 程序分為兩個(gè)進(jìn)程,第一個(gè)進(jìn)程用來(lái)決定位選與段選。然后根據(jù)檢測(cè)的情況(時(shí)間時(shí) 分,時(shí)間分 — 秒,鬧鐘時(shí) 分,鬧鐘分 秒)把要顯示的內(nèi)容從“中間量”以 BCD碼的形式賦值給“最終量”,再判斷“最終量”代表的數(shù)字值,再把數(shù)字值相應(yīng)的段選碼送給段選。 第二個(gè)進(jìn)程有兩個(gè)用途: ,通過(guò)不斷把即時(shí)要顯示的內(nèi)容(時(shí) 分或分 秒或不顯示)送至“中間量”,由第一個(gè)進(jìn)程送出去輸出。 程序: LIBRARY IEEE。 USE 。 半秒的驅(qū)動(dòng) clk3:IN STD_LOGIC。 段選 selout:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)。 修改模式的時(shí)鐘數(shù)據(jù) hou2a:in std_logic_vector(3 downto 0)。 min2a:in std_logic_vector(3 downto 0)。 鬧鐘數(shù)據(jù)(只有一種模式) seth2a:in std_logic_vector(3 do
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1