freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

紅綠燈交通燈控制器eda設(shè)計(jì)(doc畢設(shè)論文)-在線瀏覽

2024-08-08 01:18本頁(yè)面
  

【正文】 end if。 end process。flash_1Hz = ena_one。該模塊的VHDL程序設(shè)計(jì)中使用了參數(shù)化的概念,即引用了常數(shù)對(duì)象(constant)。該模塊的VHDL程序包含了兩個(gè)進(jìn)程。Reset為系統(tǒng)復(fù)位信號(hào),當(dāng)reset=1時(shí),則將輸出都清零。系統(tǒng)時(shí)序發(fā)生電路clk_gen的仿真輸出波形和元件符號(hào)如圖652所示。(b)元件符號(hào)圖2 紅綠燈計(jì)數(shù)時(shí)間選擇模塊traffic_mux的VHDL設(shè)計(jì)當(dāng)過(guò)馬路的時(shí)候,綠燈的一方有時(shí)會(huì)附加一個(gè)顯示器告訴行人,目前還剩下幾秒信號(hào)燈將變成紅燈。該電路的核心部分就是數(shù)據(jù)選擇電路,利用選擇語(yǔ)句case_when(單輸入,多輸出)實(shí)現(xiàn)4選1,其選擇輸入信號(hào)sign_state是紅綠燈信號(hào)譯碼電路產(chǎn)生的4種狀態(tài)信號(hào),狀態(tài)轉(zhuǎn)換輸出表如表65所示。表65紅綠燈計(jì)數(shù)時(shí)間狀態(tài)轉(zhuǎn)換表狀態(tài)sign_state東西路口南北路口時(shí)間(秒)00(狀態(tài)0)東西路口為通行狀態(tài),此時(shí)東西路口綠燈亮, 南北路口紅燈亮3501(狀態(tài)1)東西路口為過(guò)渡狀態(tài),此時(shí)東西路口黃燈亮南北路口紅燈亮510(狀態(tài)2)東西路口紅燈亮南北路口為通行狀態(tài),此時(shí)南北路口綠燈亮1511(狀態(tài)3)東西路口紅燈亮南北路口過(guò)渡狀態(tài),此時(shí)南北路口黃燈亮5在程序中還用到conv_std_logic_vector(value,n)語(yǔ)法,其用法就是將已經(jīng)定義的數(shù)值(value)轉(zhuǎn)換成n位(bit)的表示方法。其VHDL源程序如下:LIBRARY IEEE。USE 。ENTITY traffic_mux IS port(reset, clk, ena_scan ,recount: in std_logic。 load: out std_logic_vector(7 downto 0))。 ARCHITECTURE BEHAVIOR of traffic_mux ISCONSTANT yellow0_time: integer := 5。 設(shè)置東西路口的綠燈維持秒數(shù)CONSTANT yellow1_time: integer := 5。 設(shè)置南北路口的綠燈維持秒數(shù)begin load_time:process(reset,clk) begin if reset=39。 then load=00000000。event and clk=39。) then if (ena_scan=39。 and recount = 39。) then CASE sign_state IS WHEN 00 = load = CONV_STD_LOGIC_VECTOR(green0_time,8)。 WHEN 10 = load = CONV_STD_LOGIC_VECTOR(green1_time,8)。 END CASE。 end if。end BEHAVIOR 。紅綠燈計(jì)數(shù)時(shí)間選擇模塊traffic_mux仿真輸出波形和元件符號(hào)如圖653所示。(b)元件符號(hào)圖3定時(shí)控制電路count_down的VHDL程序設(shè)計(jì)當(dāng)過(guò)馬路的時(shí)候,綠燈的一方有時(shí)會(huì)附加一個(gè)顯示器告訴行人。因此,count_down電路最主要的功能就是負(fù)責(zé)接收traffic_mux電路輸出的值(即倒數(shù)的秒數(shù)值),然后將其轉(zhuǎn)換成BCD碼,利用七段顯示器顯示出來(lái),讓行人能清楚地的知道再過(guò)多久就會(huì)變成紅燈??芍脭?shù)的減法計(jì)數(shù)器電路是利用if_then_else語(yǔ)句完成,兩位七段譯碼輸出顯示電路則利用case_when語(yǔ)句通過(guò)查表的方式構(gòu)成,其VHDL源程序如下:LIBRAYR IEEE。USE 。ENTITY count_down IS port(reset, clk, ena_1Hz, recount: in std_logic。 seg7:out std_logic_vector(15 downto 0)。end。 begin count:process(clk,reset) begin if (reset=39。) then t_ff=00000000。 elsif (clk39。139。139。139。 else t_ff=t_ff1。 end if。dt gfedcba(十位) dt gfedcba(個(gè)位) when 1=seg7(15 downto 0)=0011111100000110。 when 3=seg7(15 downto 0)=0011111101001111。 when 5=seg7(15 downto 0)=0011111101101101。 when 7=seg7(15 downto 0)=0011111100000111。 when 9=seg7(15 downto 0)=0011111101111011。 when 11=seg7(15 downto 0)=0000011000000110。 when 13=seg7(15 downto 0)=0000011001001111。 when 15=seg7(15 downto 0)=0000011001101101。 when 17=seg7(15 downto 0)=0000011000000111。 when 19=seg7(15 downto 0)=0000011001111011。 when 21=seg7(15 downto 0)=0101101100000110。 when 23=seg7(15 downto 0)=0101101101001111。 when 25=seg7(15 downto 0)=0101101101101101。 when 27=seg7(15 downto 0)=0101101100000111。 when 29=seg7(15 downto 0)=0101101101111011。 when 31=seg7(15 downto 0)=0100111100000110。 when 33=seg7(15 downto 0)=0100111101001111。 when 35=seg7(15 downto 0)=0100111101101101。 when 37=seg7(15 downto 0)=0100111100000111。 when 39=seg7(15 downto 0)=0100111101111011。 end case。 end process。139。039。在源程序中Clk是由外接信號(hào)發(fā)生器提供1kHz的時(shí)鐘脈沖信號(hào);Reset是系統(tǒng)內(nèi)部自復(fù)位信號(hào);ena_1hz是clk_gen電路提供1Hz的時(shí)鐘脈沖信號(hào);recount是紅綠燈信號(hào)譯碼電路產(chǎn)生的重新計(jì)數(shù)的使能控制信號(hào);Load負(fù)責(zé)接收計(jì)數(shù)器所需的計(jì)數(shù)數(shù)值。 (a) (b)圖654定時(shí)控制電路count_down(a) 仿真輸出波形。4 紅綠燈信號(hào)譯碼電路traffic_fsm的VHDL程序設(shè)計(jì)在紅綠燈交通信號(hào)系統(tǒng)中,大多數(shù)的情況是通過(guò)自動(dòng)控制的方式指揮交通的。因此,traffic_fsm電路除了負(fù)責(zé)監(jiān)控路口紅綠燈之外,最主要的功能就是能夠利用開(kāi)關(guān)來(lái)切換手動(dòng)與自動(dòng)的模式,讓交警能夠通過(guò)外部輸入的方式來(lái)控制紅綠燈信號(hào)系統(tǒng)的運(yùn)作。該電路的輸入信號(hào)有:clk(由clk_gen電路提供1kHz的時(shí)鐘脈沖信號(hào));reset是系統(tǒng)內(nèi)部自復(fù)位信號(hào);ena_scan(接收由clk_gen電路提供的250Hz時(shí)鐘脈沖信號(hào));ena_1hz(clk_gen電路提供1Hz的時(shí)鐘脈沖信號(hào));Flash_1hz(接收由clk_gen電路提供的1Hz方波信號(hào));a_m 手動(dòng)、自動(dòng)切換鈕輸入(1:自動(dòng),0:手動(dòng));在手動(dòng)模式下使用的Sr_butt 紅綠燈狀態(tài)切換鈕(每按一次就切換一個(gè)狀態(tài));Next_state(在自動(dòng)模式下接收由cont_down電路提供的狀態(tài)觸發(fā)信號(hào))。表中定義了一些進(jìn)程(process)間整體共享的電路內(nèi)部傳遞信號(hào),以整合所有功能,它們是:State信號(hào)(設(shè)定紅綠燈電路的狀態(tài),在該程序里定義8種狀態(tài));St_tansfer(在手動(dòng)模式下判斷是否轉(zhuǎn)態(tài)的控制信號(hào));Light[5..0] (在自動(dòng)模式下該信號(hào)為是否轉(zhuǎn)態(tài)的控制信號(hào),其位數(shù)從高到低分別表示Redred0、yellowyellow0、greengreen0)。r0y1011011000010r0g1000010010State3011r0y1224。g0r1111100100010g0r1100100001State5011y0r1224。r0y1011011000010r0g1001010010State3011r0y1224。 y0r1111100100010g0r1101100001State5011y0r1224。 USE 。USE 。 recount: out std_logic。 red:
點(diǎn)擊復(fù)制文檔內(nèi)容
數(shù)學(xué)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1