freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

50層電梯的vhdl程序設計方案-展示頁

2025-05-12 02:26本頁面
  

【正文】 behav of top isponent flift isport(clk: in std_logic。 5秒倒計時 doorlight:out std_logic)。 樓層顯示(十位) posdis0:out std_logic_vector(6 downto 0)。跟down_button對應的指示燈信號 stoplight: buffer std_logic_vector(50 downto 1)。電梯內樓層停止請求信號 uplight: buffer std_logic_vector(50 downto 1)。 電梯外各樓層上升請求信號 down_button:in std_logic_vector(50 downto 2)。entity top isport(clk,reset: in std_logic。use 。二、顯示模塊仿真 第四部分 源程序頂層模塊程序:library ieee。電梯運行的流程圖如圖2所示。若無請求信號時,電梯會停在最后停止時所在的樓層并且保持門關閉的狀態(tài),直至有請求信號產(chǎn)生時為止。在電梯上升的進程中,電梯首先對所在樓層以上的上升請求信號發(fā)生響應,而忽略下降請求信號,只有在上升過程停止后,電梯才開始對下降請求信號做出相應的處理。 15秒鐘后電梯門關閉,對電梯內外的請求信號進行判斷。能過在不同的情況下對電梯狀態(tài)的轉換來實現(xiàn)電梯的升降、停止以及開門的動作。其中,請求信號高電平有效,doorlight=’1’時表示電梯門打開,反之則表示門關閉。一、 電梯控制器主體模塊圖1如圖所示,clk是分頻后1Hz的時鐘信號,作為FPGA的時鐘輸入信號,電梯的模塊輸入的信號有:電梯外請求信號(包括上升請求和下降請求信號)、電梯內請求信號(即選定電梯停止的樓層的位置)。第二部分 設計方案本次設計采用FPGA來實現(xiàn)對電梯程序的控制的,并采用VHDL語言輸入的方式實現(xiàn)。(4) 電梯的運行遵循方向優(yōu)先原則:當電梯處于上升模式時,只響應比電梯所在位置高的上樓請求信號,由下面上逐個執(zhí)行,直到最后一個上樓請求執(zhí)行完畢,如更高層有下樓請求則直接上升到有下樓請求的最高層,然后進入下降模式。(3) 電梯到達了有請求的樓層之后,把門打開。50層電梯的VHDL程序設計方案第一部分 設計任務電梯程序設計設計一個50層的電梯程序,實現(xiàn)以下功能:功能:(1) 用LED顯示電梯的行進過程,即用數(shù)碼管顯示電梯當前所在樓層的位置。(2) 在每層電梯的入口處有兩個按鈕上升請求(up)和下降請求(down),按鈕按下時則對應的LED亮。停留15秒之后,把門關閉。當電梯處于下降模式時,運行規(guī)則與上升模式剛好相反。整個系統(tǒng)分為兩大組成模塊:電梯控制器主體模塊,完成各項控制進程,輸出電路運行狀態(tài);顯示模塊,實現(xiàn)電梯控制器顯示輸出。電梯模塊的輸出包括:樓層顯示(posidisplay1為十位顯示,posidisplay0)、上升請求信號顯示(uplight)、下降請求信號顯示(downlight)、電梯內請求信號顯示(stoplight)以及電梯門打開信號(doorlight)。本次設計設置了電梯運行的幾種狀態(tài),它們分別是:stopon1(電梯停在一樓),dooropen(電梯門打開)、doorclose(電梯門關閉)、up(電梯上升)、down(電梯下降)、stop(停止)。程序運行時,先對電梯進行初始化,讓電梯停在第一層,門打開,電梯運行方向的標志udsig=’0’(上升),即令doorlight=’1’,position=1,pos:=1,udsig=’0’,cdisplay=”0001”。無請求信號時,電梯一直處于初始狀態(tài)(即電梯門關閉,停在第一層);若有上升或下降請求信號時,電梯上升到請求信號所在的樓層,然后電梯門打開同時清除所在樓層相應的請求信號,在停留15秒鐘之后,電梯門關閉,電梯根據(jù)電梯內信號的請求上升或下降,最后停留在請求停止的樓層。電梯在處于下降時也一樣,首先對下降請求信號作出響應,在下降過程停止后,才開始對上升請求信號作出相應的響應,電梯在對請求信號作出響應后都會清除相應的請求信號。對電梯進行復位時,電梯回到stopon1狀態(tài)。二、 顯示模塊引腳介紹:Ledin[3..0]: 數(shù)據(jù)輸入Ledout[3..0]: 數(shù)碼管譯碼輸出三、 頂層模塊設計圖3引腳介紹:clk: 時鐘輸入reset: 復位鍵輸入up_button[49..1]: 樓層上升請求信號輸入down_button[50..2]:樓層下降請求信號輸入stop_button[50..1]: 停止樓層選擇信號輸入uplight[50..1]: 跟up_button對應的指示燈信號輸出downlight[50..0]: 跟down_button對應的指示燈信號輸出stoplight[50..1]: 跟stop_button對應的指示燈信號輸出posdis1[6..0]: 樓層位置顯示(十位)輸出posdis0[6..0]: 樓層位置顯示(個位)輸出tdis[6..0]: 倒計時顯示輸出doorlight: 開門信號輸出第三部分 仿真分析一、 電梯控制器主體模塊仿真令up_button[5]=’1’,up_button[9]=’1’,stop_button[12]=’1’,stop_button[17]=’1’, stop_button[41]=’1’, stop_button[50]=’1’;down_button[44]=’1’,則出現(xiàn)上圖所示的仿真波形從中我們可以看出電梯運行正常。use 。use 。 up_button:in std_logic_vector(49 downto 1)。電梯外各樓層下降請求信號 stop_button:in std_logic_vector(50 downto 1)。跟up_button對應的指示燈信號 downlight: buffer std_logic_vector(50 downto 1)。跟stop_button對應的指示燈信號 posdis1:out std_logic_vector(6 downto 0)。 樓層顯示(個位) tdis:out std_logic_vector(6 downto 0)。 開門信號輸出end top。 reset: in std_logic。 電梯外各樓層上升請求信號 down_button:in std_logic_vector(50 downto 2)。電梯內樓層停止請求信號 uplight: buffer std_logic_vector(50 downto 1)。跟down_button對應的指示燈信號 stoplight: buffer std_logic_vector(50 downto 1)。樓層顯示(十位) posdisplay0:out std_logic_vector(3 downto 0)。 5秒倒計時 doorlight:out std_logic)。ponent led isport( ledin:in std_logic_vector(3 downto 0)。end ponent。begin U1:flift port map(clk,reset,up_button,down_button,stop_button,uplight,downlight,stoplight,s0,s1,s2,doorlight)。 U3:led port map(s1,posdis0)。end behav。use 。use 。 reset: in std_logic。 電梯外各樓層上升請求信號 down_button:in std_logic_vector(50 downto 2)。電梯內樓層停止請求信號 uplight: buffer std_logic_vector(50 downto 1)。跟down_button對應的指示燈信號 stoplight: buffer std_logic_vector(50 downto 1)。樓層顯示(十位) posdisplay0:out std_logic_vector(3 downto 0)。 5秒倒計時 doorlight:out std_logic)。architecture behav of flift istype state_type is(stopon1,dooropen,doorclose,wait1,wait2,wait3,wait4,wait5,wait6,wait7,wait8,wait9,wait10,wait11,wait12,wait13,wait14,wait15,up,down,stop, swup2,swup3,swup4,swup5,swdn2,swdn3,swdn4,swdn5)。signal clearup,cleardn:std_logic。 內部上升下降的判斷標識,udsig=39。時表示下降,反之表示上升signal position:integer range 1 to 50。beginif reset=39。then state=stopon1。039。039。139。pos:=1。udsig=39。posdisplay1=0000。 初始狀態(tài) when wait1=state=wait2。039。039。 when wait3=state=wait4。 when wait5=state=wait6。 when wait7=state=wait8。 when wait9=state=wait10。 when wait11=state=wait12。 when wait13=state=wait14。 when wait15=state=doorclose。039。039。139。 else udsig=39。state=down。 elsif position=49 then 電梯在49樓 if stoplight=0 and uplight=0 and downlight=0 then udsig=39。state=doorclose。139。139。039。 else udsig=39。state=down。 elsif position=48 then 電梯在48樓 if stoplight=0 and uplight=0 and downlight=0 then udsig=39。state=doorclose。039。 else udsig=39。state=down。 elsif position=47 then 電梯在47樓 if stoplight=0 and uplight=0 and downlight=0 then udsig=39。state=doorclose。039。 else udsig=39。state=down。 elsif position=46 then 電梯在46樓 if stoplight=0 and uplight=0 and downlight=0 then udsig=39。state=doorclose。039。 else udsig=39。state=down。 elsif position=45 then 電梯在45樓 if stoplight=0 and uplight=0 and downlight=0 then udsig=39。state=doorclose。039。 else udsig=39。state=down。 elsif position=44 then 電梯在44樓 if stoplight=0 and uplight=0 and downlight=0 then udsig=39。state=doorclose。039。 else udsig=39。state=down。 elsif position=43 then 電梯在43樓 if stoplight=0 and uplight=0 and downlight=0 then udsig=39。state=doorclose。039。 else udsig=39。state=down。 elsif position=42 then 電梯在42樓 if stoplight=0 and uplight=0 and downlight=0 then
點擊復制文檔內容
公司管理相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1