freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的空調(diào)控制系統(tǒng)設(shè)計論文-文庫吧資料

2025-06-26 02:14本頁面
  

【正文】 +++++++++++++++++++++++++++++++++++++// 格雷碼parameter S00 = 539。 else t_1us = t_1us + 139。 // 1us延時計數(shù)子reg t_1us_clear。 else clk_1us = 1。 // 1MHz 時鐘always (posedge clk, negedge rst_n) if (!rst_n) clk_1us = 0。b1。 else if (t == 49) t = 0。 end//++++++++++++++++++++++++++++++++++++++// 分頻器50MHz1MHz 開始//++++++++++++++++++++++++++++++++++++++reg [5:0] t。 count=count+1。 end else if(count2039。h80000) begin rst_n=1。 reg [19:0]count。endmodule DS18B20模塊VerilogHDL代碼:module DS18B20( input clk, // 50MHz時鐘 //input rst_n, // 異步復(fù)位 inout one_wire, // OneWire總線 output [15:0] temperature // 輸出溫度值)。 lcd1602 s0( //LCD顯示模塊 .sys_clk(clk), .sys_rstn(rst), .lcd_rs(lcd_rs), .lcd_rw(lcd_rw), .lcd_en(lcd_en), .lcd_data(lcd_data), .display_data(temperature ), .display_time(timed) , .set_temp(key_value), .set_time(set_time), .set_tmp(set_tmp) )。 key_scan K0 ( .sys_clk(clk), //按鍵掃描模塊 .sys_rstn(rst), .key_in(key_in), .key_value(key_value), )。 wire [7:0] set_time。 wire [23:0] timed。 //LCD1602數(shù)據(jù)位 wire [3: 0] key_value。 //LCD1602讀寫信號output lcd_en。 //led燈輸出output lcd_rs。 //溫度數(shù)據(jù)總線input [3:0]key_in。 input clk,rst 。2003[5] HDL[M].北京:電子工業(yè)出版社。2009[3] [M].北京:清華大學(xué)出版社。感謝各位老師的批評指導(dǎo)!參考文獻[1] 夏宇聞,VerlogHDL 數(shù)字系統(tǒng)設(shè)計教程,北京航空航天大學(xué)出版社。寫作畢業(yè)論文是一次再系統(tǒng)學(xué)習(xí)的過程,畢業(yè)論文的完成,同樣也意味著新的學(xué)習(xí)生活的開始。正是由于他們,我才能在各方面取得顯著的進步,在此向他們表示我由衷的謝意,并祝所有的老師培養(yǎng)出越來越多的優(yōu)秀人才,桃李滿天下!通過這一階段的努力,我的畢業(yè)論文《基于FPGA的空調(diào)控制系統(tǒng)設(shè)計》終于完成了,這意味著大學(xué)生活即將結(jié)束。 實際運行結(jié)果圖致謝非常感謝各位老師在我大學(xué)的最后學(xué)習(xí)階段——畢業(yè)設(shè)計階段給自己的指導(dǎo),從最初的定題,到資料收集,到寫作、修改,到論文定稿,給了我耐心的指導(dǎo)和無私的幫助,在此表示我誠摯的謝意。 在實際的FPGA開發(fā)板上的運行結(jié)果,跟在Modelsim中運行完全一致,這也驗證了軟件程序設(shè)計的正確性。 當設(shè)定好設(shè)置溫度時,如果外界溫度和設(shè)定溫度相等,LED[0]長亮,表明模擬空調(diào)電機處于待機模式。 當設(shè)定好設(shè)置溫度時,如果外界環(huán)境溫度低于設(shè)定溫度時,LED[2]不斷閃爍,表明模擬空調(diào)電機正在進行升溫操作。當設(shè)置溫度和設(shè)置時間均為0時表示未設(shè)置相關(guān)操作,即不設(shè)置定時和溫度。 ,分析結(jié)果如下: LCD1602第一行顯示實時溫度,以及設(shè)置溫度。未用引腳需要設(shè)定約束,否則會出現(xiàn)未知情況。根據(jù)仿真結(jié)果,可以得出以下結(jié)論: 設(shè)置溫度(t_tmp)為25,當實時溫度(data)為24,led結(jié)果為1011和1111交替變換,表示LED[2]在閃爍,說明空調(diào)電機正在進行升溫控制;設(shè)置溫度為25(t_tmp),當實時溫度(data)為25,led結(jié)果為1110,表示LED[0]長亮,說明空調(diào)電機處于待機模式;設(shè)置溫度為25(t_tmp),當實時溫度為(data)為26,led結(jié)果為0111和1111交替變換,表示LED[3]在閃爍,說明空調(diào)電機正在進行降溫控制;設(shè)置定時時間(s_time)為22分,當實時時間(t)為22時, led結(jié)果為1111,表示所有的LED燈都處于關(guān)閉狀態(tài),說明空調(diào)電機處于關(guān)機模式,停止工作;6 實際運行結(jié)果及總結(jié) 配置管腳在quartus II planner配置好相應(yīng)管腳。 650 t=0。 s_time[7:0]=839。 end initial begin 600 t[23:0]=2439。h25。h0260。 //給出信號data和s_tmp 450 data=0。s_tmp[7:0]=839。 end initial begin 400 data[15:0]=1639。h25。h0240。 //初始化設(shè)置溫度 data=0。 //初始化時間 s_time=0。 //給出復(fù)位激勵信號 20 rst_n =1。 //給出時鐘激勵信號 end initial begin rst_n= 1。 initial begin clk=0。wire [3:0] led 。 reg [7:0] s_time。 reg [15:0]data。 reg clk。 LED模塊激勵信號testbench 本設(shè)計驗證功能,對時間不進行嚴格控制,為方便觀看仿真結(jié)果,在測試文件中將延時調(diào)小了。 //給出復(fù)位激勵信號 100 rst_n =1。 //給出時鐘激勵信號 end initial begin rst_n= 1。 initial begin clk=0。 wire [7:0] second。 wire [7:0] hour。 reg clk。 時鐘模塊仿真 時鐘模塊的激勵信號testbench本設(shè)計驗證功能,對時間不進行嚴格控制,為方便觀看仿真結(jié)果,在測試文件中將延時調(diào)小了。那么此時便有一種,模擬實際環(huán)境的輸入激勵和輸出校驗的一種“虛擬平臺”的產(chǎn)生。首先,任何設(shè)計都是會有輸入輸出的。  它支持Verilog、VHDL以及他們的混合仿真,它可以將整個程序分步執(zhí)行,使設(shè)計者直接看到他的程序下一步要執(zhí)行的語句,而且在程序執(zhí)行的任何步驟任何時刻都可以查看任意變量的當前值,可以在Dataflow窗口查看某一單元或模塊的輸入輸出的連續(xù)變化等,比Quartus自帶的仿真器功能強大的多,是目前業(yè)界最通用的仿真器之一。全面支持VHDL和Verilog語言的IEEE 標準,支持C/C++功能調(diào)用和調(diào)試。是作FPGA/ASIC設(shè)計的RTL級和門級電路仿真的首選。 //數(shù)據(jù)寫入DDRAM相應(yīng)的地址 圖 LCD1602的狀態(tài)機框圖5 Modelsim仿真及仿真 Modelsim介紹ModelSim是業(yè)界最優(yōu)秀的HDL語言仿真器。b010000000, //數(shù)據(jù)寫入DDRAM相應(yīng)的地址 write_ram2 = 1039。b000100000, //設(shè)置DDRAM的地址:第一行起始為 0x00(注意輸出時DB7一定要為1)set_ddram2 = 1039。b000001000, //輸入方式設(shè)置:數(shù)據(jù)讀寫操作后, 地址自動加一/畫面不動shift = 1039。b000000010, //功能設(shè)置:8位數(shù)據(jù)接口/2行顯示/5*8點 陣字符switch_mode = 1039。b000000000, //初始狀態(tài),下一個狀態(tài)為CLEAR clear = 1039。使用獨熱碼,狀態(tài)機中為每一種狀態(tài)分配一個觸發(fā)器。h18。h08。h09。h0B。h0A。h0E。h0F。h0D。h0C。h04。h05。h07。h06。h02。h03。h01。h00。設(shè)計中采用格雷碼來進行狀態(tài)機編碼,使用格雷碼每個相鄰的狀態(tài)切換只有一個bit的信號跳變。在設(shè)計的最初階段發(fā)現(xiàn)問題,可節(jié)省大量的精力 : 軟件設(shè)計分為六大模塊,頂層模塊,DS18B20模塊,時鐘分頻模塊,按鍵輸入模塊,LCD1602模塊,LED模塊。主旨在于驗證電路的功能是否符合設(shè)計要求,其特點是不考慮電路門延遲與線延遲,主要是驗證電路與理想情況是否一致。編寫好VerilgHDL代碼后,在Modelsim 。程序中主要使用了狀態(tài)機對DS18B20進行了時序控制,通過一些命令使DS18B20采集獲得溫度值傳送到FPGA控制器。 :圖 溫度傳感器電路 通過JTAG接口電路,使用Altera的USB blaster程序下載器,通過計算機的USB接口可對Altera的FPGA/CPLD以及配置芯片進行編程、調(diào)試等操作,對程序燒錄的FPGA里面。主機在發(fā)出存貯器操作命令之前,必須送出合適的ROM⑶RAM存貯器操作 在主機發(fā)出ROM 命令,以訪問某個指定的DS18B20 ,接著就可以發(fā)出DS18B20 支持的某個存貯器操作命令。這些命令還允許主機能夠檢測到總線上有多少個從機設(shè)備以及其設(shè)備類型,或者有沒有設(shè)備處于報警狀態(tài)。 ⑵ROM操作 在主機檢測到應(yīng)答脈沖后,就可以發(fā)出ROM 命令。 ⑴初始化 基于單總線上的所有傳輸過程都是以初始化開始的,初始化過程由主機發(fā)出的復(fù)位脈沖和從機響應(yīng)的應(yīng)答脈沖組成。 DS18B20的測溫原理經(jīng)過單線接口訪問DS18B20的協(xié)議如下: 初始化 ROM操作 RAM存貯器操作 處理數(shù)據(jù) 每次訪問單總線器件,必須嚴格遵守這個協(xié)議(即操作序列),如果出現(xiàn)序列混亂,則單總線器件不會響應(yīng)主機。以便在構(gòu)成大型溫度測控系統(tǒng)時在單線上掛接任意多個DS18B20芯片。特別適合于構(gòu)成多點溫度測控系統(tǒng)。 按鍵電路 DS18B20是美國DALLS公司生產(chǎn)的單線數(shù)字溫度傳感器。:圖 電源電路 為系統(tǒng)提供50MHz的穩(wěn)定時鐘。、具有 1%的精度。:FPGA控制器DS18B20LED燈閃爍仿真空調(diào)電機機LCD1602電源管理50MHz時鐘輸入按鍵輸入JTAG 下載圖 硬件框圖 使用DC/DC電源芯片AMS1117系列的1117‐、1117‐、1117‐, I/0口電壓, 。通過執(zhí)行機構(gòu)工作狀態(tài)的轉(zhuǎn)換來達到改變環(huán)境溫度的目的。整個電路的工作原理是由50MHz石英晶振FPGA提供時鐘信號,數(shù)字式溫度傳感器DS18B20將采集的溫度信息以數(shù)字信號的形式直接傳遞給FPGA芯片,用戶也可通過按鈕根據(jù)需要自己設(shè)定溫度值。3 硬件電路設(shè)計硬件電路主要包括電源電路、石英晶振、溫度傳感器、FPGA控制器、LCD1602顯示、按鍵組成。而且FPGA芯片所具有的可編程修改的特點以及其強大的邏輯功能都是單片機難以達到的,這樣不但給設(shè)計過程中帶來一系列的便利,而且在空調(diào)的功能日趨人性化和智能話的發(fā)展趨勢下,以FPGA為控制器件的設(shè)計無疑更加具有市場競爭力。但是該方案中的溫度測量電路,譯碼電路復(fù)雜,容易產(chǎn)生誤差和由電路復(fù)雜而導(dǎo)致的設(shè)備使用壽命低等一系列問題。同時通過FPGA芯片還可以實現(xiàn)定時和控制顯示,使用LCD1602將傳感器測量到的溫度,設(shè)定的溫度、定時時長都顯示出來。首先通過溫度傳感器對空氣進行溫度采集,將采集的溫度信號作A/D轉(zhuǎn)換,使其模擬信號轉(zhuǎn)變成數(shù)字信號,然后輸給單片機,再由單片機控制顯示,并比較采集的溫度與設(shè)定的溫度是否一致,然后驅(qū)動空調(diào)機的加熱或降溫循環(huán)對空氣進行處理,從而模擬實現(xiàn)空
點擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1