freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda多功能數(shù)字鐘設(shè)計(jì)報(bào)告(參考版)

2024-10-06 19:16本頁(yè)面
  

【正文】 由于本人學(xué)識(shí)有限,加之時(shí)間倉(cāng)促,文中不免有錯(cuò)誤和待改進(jìn)之處,真誠(chéng)歡迎各位師長(zhǎng)、同學(xué)提出寶貴意見。多動(dòng)手才會(huì)掌握在課本所沒有的知識(shí)。設(shè)計(jì)過程中不僅出現(xiàn)了vhdl編寫上的問題,還出現(xiàn)一些在硬件上的問題。并能根據(jù)仿真結(jié)果分析設(shè)計(jì)的存在的問題和缺陷,從而進(jìn)行程序的調(diào)試和完善。整個(gè)設(shè)計(jì)過程中,更進(jìn)一步地熟悉有關(guān)數(shù)字電路的知識(shí)和具體應(yīng)用。其中出現(xiàn)各種奇奇怪怪的問題,有時(shí)一個(gè)問題能困擾幾個(gè)小時(shí),那時(shí)候真是快要崩潰了。由于按鍵turn切換在計(jì)數(shù)器時(shí)鐘輸入端產(chǎn)生一個(gè)上升沿,故在其中間加入反相器(見圖451電子鐘系統(tǒng)原理圖),經(jīng)過測(cè)試一切正常。整個(gè)顯示部分亮度也比較高,顯示也正常了。(2)數(shù)碼管只能顯示4位,高兩位不能顯示,后四位工作正常,經(jīng)過排除最后問題問題出在IO驅(qū)動(dòng)。Led控制模塊仿真圖如圖4515所示圖4515 Led控制模塊仿真圖 主控模塊主控模塊原理圖如圖4516所示圖4516主控模塊原理圖主控模塊符號(hào)圖如圖4517所示圖4517主控模塊符號(hào)圖 電子鐘系統(tǒng)原理圖電子鐘系統(tǒng)原理圖如圖461所示 圖451電子鐘系統(tǒng)原理圖五、實(shí)現(xiàn)過程中遇到的問題及措施(1)分頻器模塊設(shè)計(jì)出來不穩(wěn)定,計(jì)數(shù)過快,導(dǎo)致整個(gè)系統(tǒng)不能正常工作,分頻器輸出頻率跟設(shè)計(jì)需求的頻率不一樣。 end process。039。039。039。 end case。 end if。 ld_h = 39。ld_m = 39。 else ld_alert = 39。139。039。039。139。039。139。139。 end if。 ld_h = 39。 else ld_m = 39。 ld_h = 39。) then ld_m = 39。 if (turn=39。 when 01 = ld_alert = 39。 ld_m = 39。 ld_h = 39。) then case (modl) is when 00 = ld_alert = 39。architecture bhv of control_led isbegin process (reset, modl) begin if (reset = 39。 reset1 : in std_logic )。 ld_m : out std_logic。 ld_alert : out std_logic。 alarm : in std_logic。entity control_led is port (reset : in std_logic。use 。Led控制模塊代碼:library ieee。Ld_h:設(shè)置時(shí)。Turn:設(shè)置分,時(shí)切換信號(hào)輸入。Led控制模塊符號(hào)圖如圖4514所示圖4514 Led控制模塊符號(hào)圖端口說明:Reset:全局復(fù)位信號(hào)輸入端。end。 end if。) then dout_disp = din_a。 process (din_a, din_b, s) begin if (s = 39。 end if。 else s = 39。begin process (model) begin if (model = 10) then s = 39。end。 dout_disp : out std_logic_vector (23 downto 0)。entity control_disp is port (din_a : in std_logic_vector (23 downto 0)。use 。顯示輸出控制模塊代碼:library ieee。Model[1..0]:數(shù)據(jù)選擇端。顯示輸出控制模塊符號(hào)圖如圖4512所示圖4512顯示輸出控制模塊功能仿真圖端口說明:Din_a[23..0]: 時(shí)鐘定時(shí)器數(shù)據(jù)輸入端。end。 end if。 else beep_out = 39。039。 end if。 else beep_out = 39。139。139。139。end。 clk_1k : in std_logic。 alarm : in std_logic。entity beep is port (reset : in std_logic。use 。比較器模塊功能仿真圖如圖459所示圖459比較器模塊功能仿真圖鬧鐘模塊符號(hào)圖如圖4510所示圖4510鬧鐘模塊符號(hào)圖鬧鐘模塊代碼:library ieee。 end process。039。139。end。 out_b : in std_logic_vector(15 downto 0)。use 。use 。Beep_out: 蜂鳴器輸出。Mod[1..0]: 模式。Cin: 整點(diǎn)信號(hào)輸入端。鬧鐘控制模塊原理圖如圖456所示圖456 鬧鐘控制模塊原理圖鬧鐘控制模塊符號(hào)圖如圖457所示圖457鬧鐘控制模塊符號(hào)圖端口說明:Din_t[15..0]: 時(shí)鐘計(jì)數(shù)器分和時(shí)數(shù)據(jù)輸入端。end按鍵計(jì)數(shù)模塊功能仿真圖如圖454所示圖454按鍵計(jì)數(shù)模塊功能仿真圖鬧鐘計(jì)數(shù)器模塊功能仿真圖如圖455所示圖455 鬧鐘計(jì)數(shù)器模塊功能仿真圖 鬧鐘控制模塊鬧鐘控制模塊由比較器和鬧鐘模塊構(gòu)成。 end case。 clkout_minute_a = 39。 when others = clkout_hour_a = 39。 else clkout_hour_a = change。039。end。 clkout_hour_a : out std_logic。 turn : in std_logic。use 。use 。end。 end case。 clkout_minute_t = 39。 when others = clkout_hour_t = 39。 else clkout_hour_t = change。039。 end process。039。039。 else clk_disp = clk_1。 when others = null。139。139。039。039。039。) then case (modl) is when 00 = clk_disp = clk_1。architecture bhv of control_counter isbegin process (modl, reset) begin if (reset = 39。 clkout_minute_t : out std_logic )。 change : in std_logic。 modl : std_logic_vector(1 downto 0)。 minute_s : out std_logic。 clk_disp : out std_logic。use 。use 。clkout_minute_t: 按鍵計(jì)數(shù)。Minute_s: 時(shí)鐘計(jì)數(shù),按鍵計(jì)數(shù)選擇端。
點(diǎn)擊復(fù)制文檔內(nèi)容
黨政相關(guān)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1