freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

數(shù)字電壓表課程設(shè)計(jì)(參考版)

2025-07-03 22:10本頁面
  

【正文】 +5總分評語:東北石油大學(xué)本科生課程設(shè)計(jì)(論文)27指導(dǎo)教師: 年 月 。106 創(chuàng)新(加分項(xiàng))工作中有創(chuàng)新意識,對前人工作有改進(jìn)或有應(yīng)用價值。404 報告質(zhì)量問題論述思路清晰,結(jié)構(gòu)嚴(yán)謹(jǐn),文理通順,撰寫規(guī)范,圖表完備正確。102工作量、工作態(tài)度和出勤率工作量飽滿,工作努力,遵守紀(jì)律,出勤率高,工作作風(fēng)嚴(yán)謹(jǐn),善于與他人合作。EDA技術(shù)有著非常好的發(fā)展前景,是進(jìn)幾年電子工業(yè)的發(fā)展趨向,中國的EDA行業(yè)發(fā)展十分迅速,有著很大的潛力。最后在老師和同學(xué)的幫助下,終于完成了數(shù)字電壓表的設(shè)計(jì)。但在學(xué)習(xí)過程中,也遇到了很多困難,由于剛剛學(xué)習(xí)EDA不久,所以很多細(xì)節(jié)內(nèi)容都不是很了解,尤其是VHDL語言的運(yùn)用。東北石油大學(xué)本科生課程設(shè)計(jì)(論文)24結(jié) 論通過此次課程設(shè)計(jì),讓我對 EDA 這門技術(shù)有了更深的體會,并更好的學(xué)會了使用 QuartusⅡ軟件進(jìn)行硬件設(shè)計(jì)。ADC0809 的直流輸入阻抗為 1M 歐姆,能滿足一般的電壓測試需要。這可以通過校正 0808 的基準(zhǔn)電壓來解決,因?yàn)樵撾妷罕碓O(shè)計(jì)時直接用 7805 的供電電源作為基準(zhǔn)電壓,電壓可能有偏差。測試時電壓數(shù)值的變化一般以 的電壓幅度變化,如要獲得更高的精度要求,應(yīng)采用 12 位、13 位的 A/D轉(zhuǎn)換器。圖 51 引腳連線東北石油大學(xué)本科生課程設(shè)計(jì)(論文)23圖 52 數(shù)字顯示 性能分析由于單片機(jī)為 8 位處理器,當(dāng)輸入電壓為 時,輸出數(shù)據(jù)值為255(FFH)因此單片機(jī)最大的數(shù)值分辨率為 (5/255 ) 。數(shù)字量送 P1 口取段碼地址=1?調(diào)用循環(huán)顯示程序調(diào)用單路顯示程序顯示的是第 4 路重新調(diào)用顯示程序NYNY圖 43 中斷顯示程序流程圖東北石油大學(xué)本科生課程設(shè)計(jì)(論文)22第 5 章 電壓表的調(diào)試及性能分析 調(diào)試與測試時鐘 CLK 接時鐘模塊輸出,使頻率為 4~5MHZ 左右;INT 接 ADC0809 中斷輸出 INT; D[7..0]接 ADC0809 數(shù)據(jù)輸出 D[7..0];CS 、RD、WR 分別接ADC0809 的控制輸入 CS、RD、WR;BCDOUT 接 12 個發(fā)光二極管。 單 路 或 多 路 循 環(huán) 顯 示 通 過 標(biāo) 志 位 00H 控 制 。 單 路 顯 示 程 序 只 對 當(dāng) 前 選 中 的 一路 數(shù) 據(jù) 進(jìn) 行 顯 示 。 LED 數(shù) 碼 管 采 用 軟 件 譯 碼 動 態(tài) 掃 描 的 方 式 。 轉(zhuǎn)換電子程序A/D 轉(zhuǎn) 換 子 程 序 用 于 對 ADC0809 的 4 路 輸 入 模 擬 電 壓 進(jìn) 行 A/D 轉(zhuǎn) 換 ,并 將 轉(zhuǎn) 換 的 數(shù) 值 存 入 4 個 相 應(yīng) 的 存 儲 單 元 中 , A/D 轉(zhuǎn) 換 子 程 序 每 隔 一 定 時 間調(diào) 用 一 次 , 即 隔 一 段 時 間 對 輸 入 電 壓 采 樣 一 次 , 如 圖 42 所 示 。另外,對于單路顯示和循環(huán)顯示,系統(tǒng)設(shè)置了一個標(biāo)志位 00H 控制,初始化時 00H位設(shè)置為 0,默認(rèn)為循環(huán)顯示,當(dāng)它為 1 時改變?yōu)閱温凤@示控制,00H 位通過單路、循環(huán)按鍵控制。東北石油大學(xué)本科生課程設(shè)計(jì)(論文)19第 4 章 系統(tǒng)軟件程序的設(shè)計(jì)多路數(shù)字電壓表系統(tǒng)軟件程序主要有主程序、A/D 轉(zhuǎn)換子程序和中斷顯示程序組成。dout4=111111010111 when doo4=0000 else 011000010111 when doo4=0001 else 110110110111 when doo4=0010 else 111100110111 when doo4=0011 else 011001110111 when doo4=0100 else 101101110111 when doo4=0101 else 101111110111 when doo4=0110 else 111000010111 when doo4=0111 else 111111110111 when doo4=1000 else 111101110111 when doo4=1001 else 000000001111。dout2=111111000101 when doo2=0000 else 011000000101 when doo2=0001 else 110110100101 when doo2=0010 else 111100100101 when doo2=0011 else 011001100101 when doo2=0100 else 101101100101 when doo2=0101 else 101111100101 when doo2=0110 else 111000000101 when doo2=0111 else 111111100101 when doo2=1000 else 111101100101 when doo2=1001 else 000000001111。doo4=do4 when do401010 else do401010。doo2=do2 when do201010 else do201010。 data2(15 downto 12))+c3。039。 amp。do4=(39。 data2(11 downto 8))+c2。039。 amp。do3=(39。 data2(7 downto 4))+c1。039。 amp。do2=(39。 data2(3 downto 0))。039。 amp。do1=(39。data1=0000000000000000 when datain(7 downto 4)=0000 else 0000001100010011 when datain(7 downto 4)=0001 else 0000011000100101 when datain(7 downto 4)=0010 else 0000100100111000 when datain(7 downto 4)=0011 else 0001001001010000 when datain(7 downto 4)=0100 else 0001010101100011 when datain(7 downto 4)=0101 else 0001100001110101 when datain(7 downto 4)=0110 else 0010000110001000 when datain(7 downto 4)=0111 else 0010010100000000 when datain(7 downto 4)=1000 else 0010100000010011 when datain(7 downto 4)=1001 else 0011000100100101 when datain(7 downto 4)=1010 else 0011010000111000 when datain(7 downto 4)=1011 else 0011011101010000 when datain(7 downto 4)=1100 else 0100000001100011 when datain(7 downto 4)=1101 else 0100001101110101 when datain(7 downto 4)=1110 else 0100011010001000 when datain(7 downto 4)=1111 else 0000000000000000。 end if。 if(present_state=read) then datain=D。139。 elsif(CLK39。) then present_state=idle。P2: process(CLK, RESET) begin if(RESET=39。 end case。 DATOUT=dout4。 RD=39。 WR=39。東北石油大學(xué)本科生課程設(shè)計(jì)(論文)16 when disp4 = CS=39。 DATOUT=dout3。 RD=39。 WR=39。 when disp3 = CS=39。 DATOUT=dout2。 RD=39。 WR=39。 when disp2 = CS=39。 DATOUT=dout1。 RD=39。 WR=39。 when disp1 = CS=39。139。039。139。 end if。) then next_state=read。 if ( INT=39。 RD=39。 WR=39。 when swait = CS=39。039。139。139。 next_state=write。 RD=39。 WR=39。beginP1: process(present_state,next_state,INT) begin case present_state is when idle = CS=39。type statetype is(idle,write,swait,read,disp1,disp2,disp3,disp4)。signal dout1,dout2,dout3,dout4 : std_logic_vector(11 downto 0)。architecture doit of voltmeter issignal datain : std_logic_vector(7 downto 0)。 DATOUT: out std_logic_vector(11 downto 0) )。 CLK,INT,RESET: in std_logic。use 。圖 35 AD 控制器引腳圖 電路程序library IEEE。相加從最低 4 位開始,且每 4 位相加結(jié)果超過 10 時需作進(jìn)位動作。提示:在讀取到轉(zhuǎn)換數(shù)據(jù)后,先用查表的指令算出高、低 4 位的兩個電壓值,并分別用 12
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1