freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的餐館點(diǎn)菜系統(tǒng)(參考版)

2024-10-31 11:23本頁(yè)面
  

【正文】 。 end process。9 when others = q3=1111111。7 when 1000 = q3=0000000。5 when 0110 = q3=0100000。3 when 0100 = q3=1001100。1 when 0010 = q3=0010010。 architecture Behavioral of decoder104 is begin process(seg) begin case seg is when 0000 = q3=0000001。 q3:out std_logic_vector(6 downto 0) )。 use 。 use 。 end Behavioral。 end case。8 when 1001 = q3=0000100。6 when 0111 = q3=0001111。4 when 0101 = q3=0100100。2 when 0011 = q3=0000110。0 when 0001 = q3=1001111。 輸出 LED 七段碼 end decoder。 entity decoder is Port (seg:in std_logic_vector(3 downto 0 )。 use 。 library IEEE。 end process。9 when others = q3=1111111。7 when 1000 = q3=0000000。5 when 0110 = q3=0100000。3 when 0100 = q3=1001100。1 when 0010 = q3=0010010。 26 architecture Behavioral of decoder is begin process(seg) begin case seg is when 0000 = q3=0000001。 四位二進(jìn)制碼輸入 q3:out std_logic_vector(6 downto 0) )。 use 。 use 。 end Behavioral。 end case。8 when 1001 = q3=0000100。6 when 0111 = q3=0001111。 4 when 0101 = q3=0100100。2 when 0011 = q3=0000110。0 when 0001 = q3=1001111。 end decoder104。 25 entity decoder104 is Port (seg:in std_logic_vector(3 downto 0 )。 use 。 數(shù)碼管顯示部分: library IEEE。 END PROCESS。039。 when others=q=0000000000000000。 en=39。139。 when 0101111111=q=1100110011001100。 en=39。139。 when 1010011111=q=1100011001101100。 en=39。139。 when 0000110111=q=0110011001100110。 en=39。139。 when 0000100111=q=0011011001100011。 en=39。139。 when 0000000111=q=0011001100110011。 en=39。139。 when 0000111011=q=0011100110010011。 en=39。139。 when 0000101011=q=0011100110011001。 en=39。139。 when 1101100011=q=1100100110011001。 en=39。139。 when 1010000011=q=1100100111001100。 en=39。139。 when 1111111110=q=0011001100110011。 en=39。139。 when 0000111110=q=1100110001101100。 en=39。139。 when 0000101110=q=0110110001100110。 en=39。139。 when 1101100110=q=0110001101100110。 en=39。139。 when 1010000110=q=0011001101100011。 en=39。139。 when 1101111010=q=0011001110010011。 en=39。139。 when 1010011010=q=1001100110011001。 en=39。139。 when 0000110010=q=1001100110011001。 en=39。139。 when 0000100010=q=1100110010011001。 en=39。139。 when 0000000010=q=1100110011001100。 en=39。139。 when 0101111101=q=1100110011001100。 en=39。139。 when 1010011101=q=0110110011000110。 en=39。139。 when 0000110101=q=0110011001100110。 en=39。139。 when 0000100101=q=0110001100110110。 en=39。139。 when 0000000101=q=0011001100110011。 en=39。139。 when 0000111001=q=1001001100111001。 en=39。139。 when 0000101001=q=1001100100111001。 en=39。139。 when 1101100001=q=1001100111001001。 en=39。139。 when 1010000001=q=1100110011001001。 en=39。139。 when 1111111100=q=0011001100110011。 en=39。139。 when 0000111100=q=0110110011001100。 en=39。139。 when 0000101100=q=0110011001101100。 en=39。139。 when 1101100100=q=0110011001100011。 en=39。139。 when 1010000100=q=0110001100110011。 en=39。139。 when 1101111000=q=1001001100110011。 en=39。139。 when 1010011000=q=1001100110011001。 en=39。139。 when 0000110000=q=1001100110011001。 en=39。139。 when 0000100000=q=1001100111001100。 en=39。139。 case indata is 20 when 0000000000=q=1100110011001100。d8amp。d6amp。d4amp。d2amp。 BEGIN indata=d0amp。 END decode1016。 en : out STD_LOGIC。 USE 。 end Behavioral。 txd=txds。 end case。 end if。139。 else xt16:=xt16+1。 txd_done=39。 state=x_stop。 xt16:=00000。039。 state=x_wait。 when x_shift=txds :=txdbuf(xbitt)。 state=x_wait。 19 xt16:=00000。 else state=x_shift。 when x_wait= 狀態(tài) 3,等待狀態(tài) if xt16=01110 then if xbitt=framlent then state=x_stop。 state=x_start。 txds:=39。 xt16:=00000。 end if。039。 then state=x_start。 復(fù)位 elsif rising_edge(bclkt) then case state is when x_idle= 狀態(tài) 1,等待數(shù)據(jù)幀發(fā)送命令 if xmit_cmd_p=39。 txds:=39。 txd_done=39。139。 variable txds:std_logic。 begin process(bclkt,resett,xmit_cmd_p,txdbuf) 主控時(shí)序、組合進(jìn)程 variable xt16:std_logic_vector(4 downto 0):=00000。 定義個(gè)子狀態(tài) signal state:states:=x_idle。 end transfer。 txd:out std_logic。 Port (bclkt,resett,xmit_cmd_p:in std_logic。 use 。 use 。 end Behavioral。 end if。039。 設(shè)置分頻系數(shù) else t:=t+1。 bclk=39。039。 then t:=0。 begin if resetb=39。 end baud。 en
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1