freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

數(shù)字邏輯課程設(shè)計(jì)報(bào)告--多功能數(shù)字鐘(參考版)

2025-01-21 21:53本頁(yè)面
  

【正文】 END ARCHITECTURE one。 END IF。 THEN y=a。ARCHITECTURE one OF mux21 IS BEGIN PROCESS(a,b,s) BEGIN IF s = 39。 y : OUT STD_LOGIC )。USE 。同理,次高位的頻率就為clk頻率的1/2 * 1/2 = 1/4,用這種方法就可以得到各種能整除1024的頻率,從而實(shí)現(xiàn)分頻。end a。sig500=s500。039。139。039。139。139。139。139。139。 q(3 downto 0)=s0。 q(11 downto 8)=m0。signal s500,s1k : std_logic。end alert。entity alert is port(m1,m0,s1,s0 : in std_logic_vector(3 downto 0)。use 。 生成器件: (4)報(bào)時(shí)模塊: 報(bào)時(shí)器源程序:library ieee。 hz1=q(9)。 hz64=q(3)。 hz512=q(0)。 end if。139。 begin process(clk) begin if clk39。 end fry。 hz4:out std_logic。 hz256:out std_logic。entity fry is port(clk:in std_logic。use 。(3)分頻模塊:分頻器源程序:library ieee。具體算法如下:建立一個(gè)以clk脈沖為敏感變量的進(jìn)程,先判斷是否是clk的高電平脈沖,若不是則什么也不執(zhí)行,若是高電平脈沖,則執(zhí)行以下程序。定義一個(gè)std_logic_vector(6 downto 0)類(lèi)型的seg,用來(lái)存放將由四位bcd碼編碼而來(lái)的七段顯示碼。實(shí)現(xiàn)。 else q=q+1。 生成器件: 端口說(shuō)明:s,f,m分別為時(shí)、分、秒的輸入端,定義為std_logic_vector(7 downto 0);segout為七端顯示管的輸出,定義為std_logic_vector(6 downto 0);selout為掃描地址端,定義為std_logic_vector(5 downto 0),某一時(shí)刻只有一個(gè)為1,對(duì)應(yīng)的數(shù)組號(hào)即為當(dāng)前掃描的數(shù)碼管的編號(hào)。 segout=seg。end process。 when others=seg=0000000。 when1000=seg=1111111。 when0110=seg=1111101。 when0100=seg=1100110。 when0010=seg=1011011。process(number) begincase number is when0000=seg=0111111。end if。 elsif sel=100000then number=s(7 downto 4)。 elsif sel=001000then number=f(7 downto 4)。 elsif sel=000010then number=m(7 downto 4)。end process。when others=sel
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1