freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

用vhdl設(shè)計led漢字滾動顯示器畢業(yè)設(shè)計-wenkub.com

2025-01-14 02:35 本頁面
   

【正文】 end abc。 t2:=0。 key2_out=temp2。)then key2_out=39。 key2的產(chǎn)生(消鍵抖動) process(reset_n,clk_key,key2_in) variable temp2:std_logic。 t1:=0。 key1_out=temp1。)then key1_out=39。architecture abc of keyscan isbeginkey1的產(chǎn)生(消鍵抖動) process(reset_n,clk_key,key1_in) variable temp1:std_logic。 reset_n:in std_logic。use 。 end if。 when 1=dis_n=0011111。 when 5=dis_n=1101101。039。 end if。 end if。)then flag_key:=39。 elsif(key2=39。high)then n=n。039。039。 begin if(reset_n=39。 data=ledsj(index)。 begin if(reset_n=39。 end if。high)then x=0。high)then tx:=0。 x=0。 process(reset_n,clk_scan,flag_scan) tx,x的控制 variable tx:integer range 0 to 50。 when others = scan = ZZZZZ。 when 28 = scan = 11100。 when 24 = scan = 11000。 when 20 = scan = 10100。 when 16 = scan = 10000。 when 12 = scan = 01100。 when 8 = scan = 01000。 when 4 = scan = 00100。 elsif(rising_edge(clk_scan))then case t is when 0 = scan = 00000。 end process。 else flag_scan=39。 end if。)then t=31。創(chuàng)建一個可以存儲2唯數(shù)據(jù)的數(shù)據(jù)類型 array_2type array_2 is array(0 to L_SZ)of std_logic_vector(15 downto 0)。signal t:integer range 0 to L_DZ。 reset_n:in std_logic )。 scan:out std_logic_vector(4 downto 0)。use 。 end if。139。039。 if(t_scan(t_scan39。 if(t_key=t_key39。 elsif(rising_edge(clk))then if(t_scan=t_scan39。 begin if(reset_n=39。architecture abc of fenpin isbegin process(reset_n,clk) 產(chǎn)生clk_scan和clk_key信號下載用下面這兩條語句 variable t_scan:integer range 0 to 300。 輸入的500KHz信號 clk_scan:out std_logic。use 。最后,感謝在大學(xué)期間認識我和我認識的所有人,有你們伴隨,才有我大學(xué)生活的豐富多彩,絢麗多姿! 參考文獻[1] :人民郵電出版社,2005[2] :清華大學(xué)出版社,2004[3] 當然,還要感謝寢室的兄弟們在我完成論文的過程中給予我的幫助和鼓勵,也是他們陪我度過這四年的生活。還要感謝王章瑞教授,因為畢業(yè)設(shè)計是在王章瑞的悉心指導(dǎo)下完成的。筆者認為可以考慮采用單片機或DSP來實現(xiàn)該方案的運算部分,然后在聯(lián)合FPGA構(gòu)成整個系統(tǒng)的設(shè)計。,但是筆者認為它是這是一個很具創(chuàng)新的方法。主要完成了以下工作:1. 對時鐘信號的分頻2. 對按鍵的消抖動處理3. 用FPGA實現(xiàn)只讀存儲器4. 對LED點陣的控制由于采用了先進的EDA技術(shù),使得系統(tǒng)在具有極高的集成度和穩(wěn)定性的同時,還體現(xiàn)出比傳統(tǒng)設(shè)計方法更大的靈活性,和更高的設(shè)計效率。如果要連接兩個組件的端口, 頂層文件左鍵雙擊它即可輸入用戶自定的名字。,以后就可以在圖形編輯器中調(diào)用此組件了。實體F分別被實體B和實體C調(diào)用,而實體G則被實體C調(diào)用了2次。這些是自頂向下的設(shè)計方法。顯然計數(shù)器的位數(shù)要求與要去抖的抖動脈沖寬度的對數(shù)關(guān)系。039。139。如果不進行消抖處理,系統(tǒng)會將這些毛刺誤以為是用戶的另一次輸入,導(dǎo)致系統(tǒng)的誤操作。 列線掃描輸出組合因為無法預(yù)計什么時候有鍵按下,也無法預(yù)測究竟是那一列上的鍵被按下,所以只能對鍵盤的列線(PC3~PC0)進行掃描,同時讀取鍵盤行線(PC7~PC0)的電平值。如果3鍵按下那么PC7~PC4讀到的電平值就是0100。以按鍵0為研究對象,按鍵0的行線連著PC4并且通過一個下拉電阻接地(下拉就是將不確定的信號通過一個電阻嵌位在低電平,下拉反之);其列線接著PC3。設(shè)矩陣式鍵盤有m行n列,則鍵盤上有(mn)個按鍵,而它只需要占用(m+n)個I/O口。直接式按鍵十分簡單,一端接地,一端接FPGA的I/O口(設(shè)為輸入)。; else clk_scan=39。對于本設(shè)計這種延遲是允許的,然而在有些電路中延遲是不允許的。這一點就可以保證沒有毛刺產(chǎn)生。在設(shè)計中,譯碼的輸入是計數(shù)器的輸出,計數(shù)器各級輸出延遲是不一樣的,因此譯碼器輸出clk_scan信號波形就會有毛刺()。仿真是一般采用小的分頻來替代,真正下載到電路上時,就需要采用120000分頻了。但是因為很多外圍器件的驅(qū)動需要低頻的時鐘(若時鐘頻率太高,則鍵盤掃描容易出錯,數(shù)碼管會閃爍和不穩(wěn)定等),所以需要用到分頻電路。type array_2 is array(0 to L_SZ)of std_logic_vector(15 downto 0);constant ledsj:array_2:=((0010000000000000),(0010001111111111),…………(0010001000000000),(0000000000000000));兩種方案存儲漢字信息,以及輸出數(shù)據(jù)驅(qū)動LED點陣的原理和相差不大,第一種方案是利用了FPGA芯片中的嵌入式數(shù)組塊,可以生成大容量的ROM來存儲較多的漢字信息。該文件是設(shè)計者事先在文本編輯器中建立的,其VHDL格式和關(guān)鍵代碼示意如下:WIDTH=16;———數(shù)據(jù)線的寬度為16位DEPTH=2048;———有2048個字節(jié)存儲單元,對應(yīng)11根地址線寬度ADDRESS RADIX=HEX;———以16進制表示DATA RADIX = HEX;CONTENTBEGIN00 : 2f;———以16進制表示的ROM內(nèi)的各字節(jié)單元的地址和保存的數(shù)據(jù)01 : 6c;02 : f8;……fd : ee;fe : 72;ff : 00;END; 用FPGA內(nèi)部的邏輯單元設(shè)計一個ROM本系統(tǒng)也可以定義一個常數(shù)數(shù)組來存儲漢字信息。在該系列對話框中設(shè)置輸出數(shù)據(jù)線寬度為11,存儲單元的數(shù)量為2048。 Symbol對話框本設(shè)計可以選擇204816的結(jié)構(gòu),用來構(gòu)成一個能保存128個漢字的只讀存儲器ROM。Flex10k10中共有3個嵌入式數(shù)組塊(EAB),每塊大小均為2k,可構(gòu)成20481,10242,5124,2568等4種類型RAM/ROM中的任意一種。high)then x=0; else x=x+1; end if; end if; end if; end if;end process;此進程根據(jù)定義的信號n來控制x遞增的速度,n由外部的按鍵控制。)then tx:=0; x=0; elsif(rising_edge(clk_scan))then if(flag_scan=39。在用VHDL編程的時候,可以定義一個變量x作為“滑窗”在ROM上的起始地址,設(shè)計一個進程按一定的頻率對x進行累加,再設(shè)計一個進程將以x為起始地址的長度為32的ROM中的區(qū)域動態(tài)顯示在LED點陣中。039。039。high)then t=0; else t=t+1; end if; if(t =t39。如此進行,就可以在LED點陣模塊上滾動顯示ROM中存儲的漢字。 () 滾動顯示多漢字信息的原理示意圖 漢字的顯示第二步工作的步驟是:先在掃描模塊的控制下,由地址線確定每次由ROM送出某一列的16個LED所要顯示的漢字的控制字節(jié)數(shù)據(jù),同時由掃描模塊輸出的5位掃描碼經(jīng)兩個416譯碼器解碼后決定相應(yīng)的某一列可以被點亮,而另外31列都不能被點亮。 1616LED點陣模塊然后,依次對多漢字抽取像素信息,并按序排隊存放起來,便可得到一個待顯示數(shù)據(jù)序列。第一步是獲得數(shù)據(jù)并保存,即在存貯器中建立漢字數(shù)據(jù)庫。 88點陣LED
點擊復(fù)制文檔內(nèi)容
范文總結(jié)相關(guān)推薦