【文章內(nèi)容簡介】
IF (clk39。EVENT AND clk=39。139。) THENIF(count=1111) THEN Count = (OTHERS =39。039。)。ELSECount = count +1。END IF 。END IF 。END PROCESS。clk_div2 = count(0)。clk_div4 = count(1)。clk_div8 = count(2)。clk_div16 = count(3)。END rtl。 頻率選擇模塊library ieee。use 。entity tiaopin is port(s1,s0: in std_logic。 a,b,c,d: in std_logic。 y: out std_logic)。end tiaopin。architecture one of tiaopin is signal s: std_logic_vector(1 downto 0)。 signal y_temp: std_logic。 begin s=s1amp。s0。 process(s1,s0,a,b,c,d) begin case s is when 00=y_temp=a。 when 01=y_temp=b。 when 10=y_temp=c。 when 11=y_temp=d。 when others=y=39。X39。 end case。 end process。 y=y_temp。end one。