freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的數(shù)字跑表設(shè)計畢業(yè)設(shè)計(留存版)

2025-01-09 16:01上一頁面

下一頁面
  

【正文】 vector(2 downto 0)。 elsif ( count = “11” ) then count = ( others = ‘ 0’ )。 clk : in std_logic。 clk0 = clk0_tmp。 else q_tmp = q_tmp+1。 q : out std_logic_vector(1 downto 0))。 use 。 clk : in std_logic。 signal co1,co2, co3,co4 :std_logic。 use 。 sel : out std_logic_vector(2 downto 0))。 ponent seg7 is port( q : in std_logic_vector(3 downto 0)。 sec01 : in std_logic_vector(3 downto 0)。 segment : out std_logic_vector(6 downto 0))。 35 end rtl。 clk : in std_logic。 sec001 : out std_logic_vector(3 downto 0))。 end rtl。 entity count3 is port ( reset : in std_logic。 end count6。 signal clk0_tmp : std_logic。 use 。 clk_div : out std_logic)。 signal enable : std_logic。 clk : in std_logic。 enable : out std_logic)。 24 參考文獻(xiàn) [1]姜立東 編著 . VHDL語言程序設(shè)計及應(yīng)用 . 北京:郵電大學(xué)出版社, 2020. [2]王志鵬 編著 .可編程邏輯器件開發(fā)器件 MAX+plusⅡ . 北京:國防工業(yè) 出版社, 2020. [3]姜雪松 ,吳鈺淳 ,王鷹 編著 . VHDL設(shè)計實例與仿真 . 北京:機(jī)械工業(yè)出版社, 2020. [4]廖裕評 編著 .使用 MAX+plusⅡ入門篇 . 天津:天津大學(xué)出版社, 2020. [5]孟慶海,張洲 編著 . VHDL基礎(chǔ)及經(jīng)典實例開發(fā) . 西安:西安交通大學(xué)出版社, 2020. [6]潘松,黃繼業(yè) 編著 .EDA技術(shù)與 VHDL. 北京:清華大學(xué)出版社, 2020. [7]楊恒 編著 . FPGA\CPLD最新實用技術(shù)指南 . 北京:清華大學(xué)出版社, 2020. [8]朱如琪 . FPGA設(shè)計實踐教程 [M].北京:科學(xué)出版社 ,2020. [9]黃智偉 . FPGA系統(tǒng)設(shè)計與實踐 [M]. 北京:電子工業(yè)出版社 ,2020. [10]李宥謀 .基于 Verilog的 FPGA設(shè)計基礎(chǔ) [M].西安:西安電子科技大學(xué)出版社 ,2020 . [11]黃焱 FPGA應(yīng)用開發(fā)入門與經(jīng)典實例,人民郵電出版社出版, 2020年 7月 [12]陳賾 FPGA/CPLD與 ASIC設(shè)計實踐教程科學(xué)出版社 , 2020年 9月 [13]張 強(qiáng) .基 FPGA的多功能數(shù)字鐘的設(shè)計與實現(xiàn) [ J ].儀器表用戶 ,2020, [14]葛澎 . FPGA時 鐘設(shè)計 [J]. 現(xiàn)代電子技術(shù) , [15]劉明章.基于 FPGA的嵌入式系統(tǒng)設(shè)計.北京:國防工業(yè)出版社, [16]朱正偉. EDA技術(shù)及應(yīng)用.北京:清華大學(xué)出版社, [17]袁茵.低廉的 FPGA解決方案 .電子技術(shù)(上海) .2020, [18]吳超英.基于 FPGA/CPLD技術(shù)的數(shù)字系統(tǒng)設(shè)計.安徽:安徽工業(yè)大學(xué)學(xué)報 .2020, [19]楊曉慧.基于 FPGA的 EDA/SOPC技術(shù)與 VHDL.北京國防工業(yè)出版社, [20] Song Kezhu. FPGA based digital clock Design[J].Nuclear Electronics amp。在硬件調(diào)試過程中,總是出現(xiàn)大量莫名其妙的錯誤和警告,有的要花費好長時間才能分析出來問題所在,還要去結(jié)合相關(guān)的知識去調(diào)試修改。 when “ 0100” = segment = “ 1100110” 。 顯示位選的電路功能是根據(jù) count8 的輸出來表示的,可將他的信號傳輸?shù)揭粋€七段顯示數(shù)碼管的 choose 信號上。 use 。 else q_tmp = q_tmp+1。 它是講 1個三進(jìn)制計數(shù)器、 5 個十進(jìn)制和 2 個六進(jìn)制的計數(shù)器串連在一起的,從而 15 來實現(xiàn)這個跑表計時模塊的功能效果。 源代碼 4 library ieee。 end if。如消除抖動時鐘信號為 25Hz 那么就要對時鐘進(jìn)行分配,分配給消除抖動電路一個 25Hz的頻率。 on_off : in std_logic。 圖 常用消抖同步電路 根據(jù)圖 所示的各個模塊。 圖 跑表系統(tǒng)的結(jié)構(gòu)框圖 跑表的程序 流程圖 圖 秒計數(shù)進(jìn)程程序流程圖 開始 CLR=1 SH=5? SL=9 SH=SH+1 MH=0, CN2=1 清零顯示 結(jié)束 Y Y Y N N SL=0 N 7 圖 分 計數(shù)進(jìn)程程序流程圖 頂層設(shè)計與 VHDL 源代碼 頂層實體設(shè)計及 VHDL 源代碼 在自頂向下的 VHDL 語言設(shè)計描述中,第一個應(yīng)該考慮的是描述頂層信號接口,如圖 所示。實體語句部分定義實體接口中的公共信息。 3 第二章 實驗的軟件環(huán)境 MAX+plusⅡ 軟件 本 軟件主要由層次顯示 器 、信息處理器、輸入編輯器、 設(shè)計 編譯器、設(shè)計校驗器和器件編程器 一起 構(gòu)成了一個完整獨立的 EDA 設(shè)計平臺。 MAX + plus Ⅱ 。 Abstract ......................................錯誤 !未定義書簽。 第二章 實驗的軟件環(huán)境 .........................錯誤 !未定義書簽。但一旦遇到了重要事情,一時的遺忘就會釀成大禍。 VHDL 語言 經(jīng)過多年的檢測與使用,最終工程師們吧VHDL定為 標(biāo)準(zhǔn)硬件描述語言。 方案比較: 相同點:這兩種方案的原理相似都分別由計數(shù)部分、顯示部分組成。 use 。 (4) 跑表計時模塊 由輸入的控制鍵和時鐘分頻模塊的參考,跑表就根據(jù)分頻數(shù)據(jù)在鍵輸入的命令下開始計時。 end keyin; architecture rtl of keyin is signal on_off_tmp1 : std_logic; signal on_off_tmp2 : std_logic; signal on_off_tmp3 : std_logic; begin process(clk1) begin if ( clk1’ event and clk1 =’ 0’ ) then on_off_tmp2 = on_off_tmp1。 use 。 end if。 enable : out std_logic)。 use 。 end process。 sel : out std_logic_vector(2 downto 0))。 圖 segment信號與數(shù)碼管的關(guān)系 上面對的是數(shù)碼管其中一位的顯示模塊,它由七個塊組成的,每個位置都代表著一個信號由 0、 6來組成的信號體。 when “ 1001” = segment = “ 1101111” 。 在這段時間里 ,我一直在老師的指導(dǎo)下從事 畢業(yè)設(shè)計的 學(xué)習(xí)和研究。 reset0 : out std_logic。 clk0 : in std_logic。 sec10 : out std_logic_vector(2 downto 0)。 signal min : std_logic_vector(3 downto 0)。 else count = count +1。 clk0 :out std_logic。 clk1 = clk0_tmp and clk1_tmp。 end if。 end count3。 entity time_counter is port ( sysreset : in std_logic。 cout : out std_logic。 signal co5,co6, co7,co8 :std_logic。 entity display is port(sysreset : in std_logic。 end ponent。 segment : out std_logic_vector(6 downto 0))。 sec : in std_logic_vector(3 downto 0)。 choose : out std_logic_vector(7 downto 0)。 U7: count13 port map (reset_tmp, co7,clk0,co83,hr10)。 enable : in std_logic。 sec01 : out std_logic_vector(3 downto 0)。 cout = ‘ 1’ when q_tmp =” 10” and enable =’ 1’ else ‘ 0’ 。 use 。 q : out std_logic_vector(2 downto 0))。 end ponent。 library ieee。 reset : in std_logic。 signal clk1 : std_logic。 ponent display port ( sysreset : in std_logic。 on_off0 : in std_logic; clk0 : in std_logic。 再次 向 身邊所有的同學(xué)、朋友、老師 表示衷心的感謝!最后感謝我的母校安陽工學(xué)院這兩年來的全力培養(yǎng)。剛開始做的時候就發(fā)現(xiàn)自己的知識漏洞好多,僅僅靠專業(yè)課本知識解決不了問題的,在著手之前還必須學(xué)習(xí)相關(guān)的知識。 when “ 0011” = segment = “ 1001111” 。 end rtl。 源代碼 6 library ieee。 elsif (enable =’ 1’ ) then if (q_tmp =” 1001” ) then q_tmp = (others = ‘ 0’ )。計時模塊有八個部分組成,它們分別是:小時十位信號、小時各位信號、分十位、分個位、秒十位、秒個位、秒 、秒 信號組成的??刂颇K的功能描述如源代碼 4所示。 else count = count +1。 圖 鍵入模塊 仿真波形 時鐘分頻模塊 分頻模塊的功能就是講一個整的時鐘信號進(jìn)行分割,分割成時鐘需要的那種頻率。 entity keyin is port ( reset : in std_logic。根據(jù)大部分資料的情況,本
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1