freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

汽車尾燈控制電路的pld實(shí)現(xiàn)課程設(shè)計(jì)(留存版)

  

【正文】 獨(dú)立于目標(biāo)器件芯片物理結(jié)構(gòu)的硬件描述語(yǔ)言。電瓶高低狀態(tài)在波形仿真中用于驗(yàn)證程序是否正常運(yùn)行,尾燈有無(wú)正常工作。139。狀態(tài)循環(huán)如下 圖所示。q(1)= not q(1)。end。左轉(zhuǎn)模塊的設(shè)計(jì)思路如下圖 所示。由仿真圖像可知,控制模塊運(yùn)行正常。 (000—001—010—100—001—010—100)由仿真圖像可知,左轉(zhuǎn)模塊運(yùn)行正常。通過本學(xué)期課程設(shè)計(jì)的學(xué)習(xí),我從中學(xué)習(xí)到了很多東西,對(duì)可編程邏輯器件,VHDL 語(yǔ)言, Max Plus II 軟件有了一定的了解,尤其是用 VHDL 語(yǔ)言編程和仿真。q(2)= not q(2)。139。end zuoyi。 next_state=s0 。event and clk=39。 thenlight1=100。when s2=light1=001。signal current_state,next_state : states。039。139。左轉(zhuǎn)彎模塊的程序library ieee。end。139。五是右轉(zhuǎn)模塊,此模塊也是一分模塊,主要功能是當(dāng)駕駛?cè)藛T需要轉(zhuǎn)彎按下右轉(zhuǎn)彎鍵時(shí),尾燈有規(guī)律閃爍,提示后面駕駛?cè)藛T和車輛注意。圖 左轉(zhuǎn)模塊仿真圖上圖仿真結(jié)果顯示:zy 是左轉(zhuǎn)彎數(shù)控制輸入信號(hào),高電平有效。top_shache 是剎車控制輸入信號(hào),高電平有效。end if。use 。) thenq(5)=not q(5)。top_light=t。elsiftop_zuoyi=39。YOUYI 是右轉(zhuǎn)控制信號(hào),高電平有效。他包括設(shè)計(jì)輸入編輯、編譯網(wǎng)表提取、數(shù)據(jù)庫(kù)建立、邏輯綜合、邏輯分割、適配、延時(shí)網(wǎng)表提取、編輯文件匯編以及編程下載 9 個(gè)步驟。Max Plus II 開發(fā)系統(tǒng)是一個(gè)完全集成化、易學(xué)易用的可編程邏輯器件設(shè)計(jì)和開發(fā)系統(tǒng),它提供了一種真正與結(jié)構(gòu)無(wú)關(guān)的可編程邏輯設(shè)計(jì)環(huán)境。3.VHDL 語(yǔ)句的行為描述能力和程序結(jié)構(gòu)決定了他具有支持大規(guī)模設(shè)計(jì)的分解和已有設(shè)計(jì)的再利用功能。6.設(shè)計(jì)師不可或缺的重要手段——IP 核。PAL、 GAL 都采用這種形式,但 CPLD 同它們相比,增加了內(nèi)部連線對(duì)邏輯宏單元和I/O 單元也有重大改進(jìn)。3.硬件資源豐富:包括模擬信號(hào)發(fā)生器、數(shù)字量 IO 擴(kuò)展、 RS232 接口、USB 接口、以太網(wǎng)接口、LCD 顯示單元、觸摸屏單元、鍵盤接口等單元、PS/2 接口單元、IDE 接口、SD 卡接口、直流電機(jī)、步進(jìn)電機(jī)和溫度控制單元。圖 型教學(xué)實(shí)驗(yàn)箱 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 7 頁(yè) 共 29 頁(yè) ELARM 820 型教學(xué)實(shí)驗(yàn)系統(tǒng)屬于一種綜合的教學(xué)實(shí)驗(yàn)系統(tǒng)。例如,用 FPGA 可以將一塊 PC機(jī)長(zhǎng)卡大小的圖像處理板縮小到一塊 FPGA 芯片和幾片外圍電路上。由于 GAL 是在 PAL 基礎(chǔ)上設(shè)計(jì)的,能與許多種 PAL 器件保持兼容,可直接替代,所以目前在很多產(chǎn)品上仍有廣泛應(yīng)用。具備設(shè)計(jì)時(shí)間短,PCB 面積小,系統(tǒng)的可靠性強(qiáng)等特點(diǎn)。正是高效 VHDL 技術(shù)與高密度 PLD 的結(jié)合使用,大大降低了復(fù)雜數(shù)字系統(tǒng)的設(shè)計(jì)難度,提高了工作效率。最后,使用 Max Plus II 仿真軟件對(duì)每個(gè)模塊和主程序分別進(jìn)行了結(jié)果仿真,并對(duì)仿真出來(lái)的結(jié)果作了分析,實(shí)驗(yàn)中采用ELARM820 型教學(xué)實(shí)驗(yàn)系統(tǒng)。目前,Altera 系列產(chǎn)品在我國(guó)使用較多。而基于傳統(tǒng)的機(jī)械和純電路的控制方式,由于它完全取決于尾燈系統(tǒng)所采用的硬件來(lái)保證它的正常工作,而一旦電路老化或者因?yàn)闄C(jī)械振動(dòng)而引起的接觸問題以及機(jī)械元件變形而不能及時(shí)觸發(fā)電路電源開關(guān),這類問題是經(jīng)常發(fā)生,而除了選用更好的硬件系統(tǒng)元件幾乎沒有別的可靠的方法來(lái)進(jìn)行避免這類故障的發(fā)生,于是,選用智能型的元件來(lái)進(jìn)行系統(tǒng)的設(shè)計(jì),增加系統(tǒng)的穩(wěn)定性和可控制性是非常必須的。它采用熔絲工藝編程,只能寫一次,不能擦除和重寫,圖 為用 PROM 完成的半加器邏輯陣列,其中“2.在通信領(lǐng)域中的應(yīng)用現(xiàn)代通信系統(tǒng)的發(fā)展方向是功能更強(qiáng)、體積更小、速度更快、功耗更低。隨著每個(gè)門電路成本的降低和每個(gè)器件中門電路數(shù)量的增加,可編程邏輯器件正在大舉打入傳統(tǒng)的門陣列領(lǐng)域,并已有少量的打入了標(biāo)準(zhǔn)單元 ASIC的領(lǐng)域。XSCALE PXA255/270(ARM10 內(nèi)核):主處理器:INTEL 公司 XSCALEPXA255 內(nèi)核, 400M 主頻,32Bit RISC 處理器,具有 32K 指令緩沖,32K 數(shù)據(jù)緩沖,MMU 單元,2k 字節(jié) MiniCache,擴(kuò)展多媒體 DSP 指令;存儲(chǔ)器:SDRAM(64MB )可以定制擴(kuò)展到 256MB;FLASH:32MB,Intel Strata 快速頁(yè)面讀取模式 Flash,可以定制擴(kuò)展到 128MB;NANDFLASH:可擴(kuò)展8MB~64MB;10/100M 以太網(wǎng)接口; USB 接口( Host 或 peripheral)兩種模式;標(biāo)準(zhǔn)的 RS232 接口;實(shí)時(shí)時(shí)鐘( RTC)單元;擴(kuò)展總線接口,連接所有信號(hào)線,可進(jìn)行應(yīng)用背板擴(kuò)展;準(zhǔn) 20 針 JTAG 調(diào)試接口;復(fù)位電路,電源、運(yùn)行狀態(tài)指示燈;直流 5V單電源供電,含電源轉(zhuǎn)換電路。FPGA 是新一代面向用戶的可編程邏輯器件它的功能密度遠(yuǎn)遠(yuǎn)超過其他 PLD 器件,一塊 FPGA 可以替代(100200)片標(biāo)準(zhǔn)器件或者(2040)片 GAL 器件,其 I/O 引腳數(shù)多達(dá) 100 余條。2.CPLD/,使硬件的功能可象軟件一樣通過編程來(lái)修改。其中,實(shí)體是一個(gè) VHDL 程序的基本單元,由實(shí)體說明和結(jié)構(gòu)體兩部分組成,實(shí)體說明用于描述設(shè)計(jì)系統(tǒng)的外部接口信號(hào);結(jié)構(gòu)體用于描述系統(tǒng)的行為,系統(tǒng)數(shù)據(jù)的流程或系統(tǒng)組織結(jié)構(gòu)形式。圖 如下: 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 14 頁(yè) 共 29 頁(yè) OUTPUTSCLKRESINPUTS組合邏輯 1寄存器圖 米勒型狀態(tài)機(jī)模型由于本設(shè)計(jì)的狀態(tài)變化與輸入信號(hào)有關(guān),所以采用米勒型狀態(tài)機(jī)。仿真器的靈活性很強(qiáng)電路設(shè)計(jì)完成后,需要驗(yàn)證電路設(shè)計(jì)的邏輯功能是否正確。3.左轉(zhuǎn)功能:當(dāng)駕駛?cè)藛T需要轉(zhuǎn)彎按下左轉(zhuǎn)彎鍵時(shí),尾燈有規(guī)律閃爍,提示后面 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 18 頁(yè) 共 29 頁(yè) 駕駛?cè)藛T和車輛注意。TOP_ZUOYI 是左轉(zhuǎn)彎數(shù)控制輸入信號(hào) ,高電平有效。elset=000000。end。end。 thenif (shche=39。圖 右轉(zhuǎn)模塊設(shè)計(jì)圖其中,YY 是左轉(zhuǎn)彎數(shù)控制輸入信號(hào),高電平有效。 剎車模塊的仿真將程序使用 Max Plus II 進(jìn)行運(yùn)行并仿真,得到剎車模塊仿真圖像如 所示。尾燈顯示由右邊三個(gè)燈依次向右循環(huán)閃爍,左邊三個(gè)燈保持暗狀態(tài)。light: out std_logic_vector(5 downto 0))。light=q。039。139。end process。end behave。when s1=if yy=39。 thenlight1=010。use 。light(4)=light1(1)。next_state=s1。end if。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 31 頁(yè) 共 29 頁(yè) 剎車模塊的程序library ieee。architecture shift_1 of jiancha issignal q: std_logic_vector(5 downto 0)。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 28 頁(yè) 共 29 頁(yè) 5 小 結(jié)本課題要求基于可編程邏輯器件,使用硬件描述語(yǔ)言 VHDL 編寫一個(gè)汽車尾燈控制器芯片,并用 Max Plus II 軟件進(jìn)行仿真。clk 是脈沖周期信號(hào)。LIGHT[5…0]是汽車尾燈顯示輸出信號(hào),高電平有效,狀態(tài)圖如上表在高電平有效情況下進(jìn)入循環(huán)(100—010—001—100—010—001) 。) thenq=111111。圖 剎車模塊設(shè)計(jì)圖其中 SHACHE 是剎車控制輸入信號(hào),高電平有效。beginprocess(clk, jiache)beginif (jiache=39。end process。TOP_LIGHT[5…0]是汽車尾燈顯示輸出信號(hào),高電平有效。根據(jù)以上設(shè)計(jì)要求,繪制出汽車尾燈控制器外部框圖如下圖 所示:圖 汽車尾燈控制器外部框圖該框圖的實(shí)現(xiàn)功能如下:CLK 是任意頻率的脈沖, 經(jīng)過分頻電路后變成秒脈沖輸入,作為時(shí)鐘信號(hào)使用。功能檢查完成后,可進(jìn)行時(shí)序仿真。程序中,首先使控制器處于復(fù)位狀態(tài),此時(shí)把所有信號(hào)清零,再根據(jù)輸入信號(hào)轉(zhuǎn)換狀態(tài)。庫(kù)用于存放已編譯的實(shí)體,機(jī)構(gòu)體,程序包及配置。3.固定長(zhǎng)度的金屬線進(jìn)行各邏輯塊的互連使得設(shè)計(jì)的邏輯電路具有時(shí)間可預(yù)測(cè)性,避免了分段式互連結(jié)構(gòu)時(shí)序不完全的預(yù)測(cè)。自 FPGA 問世以來(lái),它已在許多領(lǐng)域獲得了廣泛的應(yīng)用。,用 IO 口線加隔離驅(qū)動(dòng)電路直接控制;直流電機(jī)控制模塊:直流電機(jī)可以利用PWM 定時(shí)器輸出進(jìn)行隔離后驅(qū)動(dòng),中斷反饋閉環(huán)控制;信號(hào)源單元: 兩路頻率、幅值可調(diào)三角波、方波和正弦波。 Altera 公司日前發(fā)布了新款 MAX 器件系列,這是業(yè)界最低的CPLD,MAX 系列的核心是新的體系結(jié)構(gòu),它大大地降低了成本和功耗 Altera 在近十五年 PLD 的領(lǐng)導(dǎo)地位和創(chuàng)新的基礎(chǔ)上,推出了業(yè)界成本最低的 CPLD。所以現(xiàn)在無(wú)論是民用的轉(zhuǎn)彎動(dòng)電話、程控交換機(jī)、集群電臺(tái)、廣播發(fā)射機(jī)和調(diào)制解調(diào)器,還是軍用的雷達(dá)設(shè)備、圖像處理設(shè)計(jì)、遙控遙測(cè)設(shè)備、加密通信機(jī)都已廣泛地使用大規(guī)模 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 5 頁(yè) 共 29 頁(yè) 可編程邏輯器件。其邏輯表達(dá)式為:F0=A0A1+A0A1F=A0A1圖 用 PROM 完成的半加器20 世紀(jì) 70 年代中期,出現(xiàn)了可編程邏輯陣列(PLA),它由可編程的與陣列和可編程的或陣列組成。電子設(shè)計(jì)自動(dòng)化系統(tǒng)包含專用集成電路、數(shù)字信號(hào)處理和單片機(jī)等方向,其中數(shù)字專用集成電路的一個(gè)重要分支就是可編程邏輯器件(PLD)。它是一種應(yīng)用較為廣泛的 HDL 語(yǔ)言,能對(duì)范圍廣泛的各種復(fù)雜的網(wǎng)絡(luò)(如電路系統(tǒng)、印刷電路板、芯片、邏輯門等)在不同的抽象級(jí)加以描述,而且在整個(gè)設(shè)計(jì)過程中可使用同一種語(yǔ)言。本文首先介紹了可編程邏輯器件的發(fā)展過程,然后對(duì)于編寫汽車尾燈控制器相關(guān)的理論知識(shí)作了說明,接著主要講解了汽車尾燈控制器的設(shè)計(jì)思路與模塊劃分。當(dāng)系統(tǒng)規(guī)模不太大時(shí),原理圖輸入方式描述還較適宜,但系統(tǒng)比較復(fù)雜時(shí),它將難以快速有效地建立描述文件。PLD(Programmable Logic Device)是可編程邏輯器件的總稱,PLD 基本上可以完成任何數(shù)字器件的功能,從高性能 CPI 到簡(jiǎn)單集成電路,均可以用 PLD 實(shí)現(xiàn)。20 世紀(jì) 80 年代初,Lattice 公司發(fā)明了通用陣列邏輯(GAL),這是一種可電擦寫、可重復(fù)編程并且可設(shè)置加密的 PLD?,F(xiàn)在,CPLD/FPGA 為 DS 提供了解決問題的方案,CPLD/FPGA 和 DSP 的技術(shù)結(jié)合,能夠在集成度、速度(實(shí)時(shí)性)和系統(tǒng)功能方面滿足 DSP 的需要。 ” ELARM820 型教學(xué)實(shí)驗(yàn)系統(tǒng)介紹ELARM820 型嵌入式實(shí)驗(yàn)開發(fā)系統(tǒng)適合高等院?!肚度胧较到y(tǒng)原理開發(fā)與設(shè)計(jì)》課程的實(shí)驗(yàn)教學(xué),可以移植linux、uclinux 、VxWorks、pSOS 、QNX、ucosII、Windows CE 等嵌入式操作系統(tǒng),適合嵌入式系統(tǒng)的實(shí)驗(yàn)教學(xué)、課題開發(fā)、畢業(yè)設(shè)計(jì)及電子設(shè)計(jì)競(jìng)賽等,同時(shí)該系統(tǒng)也是電子工程師們理想的開發(fā)工具。ELARM 820 型教學(xué)實(shí)驗(yàn)系統(tǒng)有以下幾個(gè)特點(diǎn):1.移植了目前最為流行的時(shí)時(shí)開放源碼的雙操作系統(tǒng) UC/OSII 及UCLINX,LINUX。PAL 結(jié)構(gòu)擴(kuò)展型 FPGA 則是在 PLA 基礎(chǔ)上加以改進(jìn)和擴(kuò)展,大幅度增加了寄存器數(shù)量和 I/O 引腳數(shù),增設(shè)了可編程互聯(lián)資源,改善了互聯(lián)模式,改進(jìn)了陣列結(jié)構(gòu)使得芯片的利用率大大提高。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 12 頁(yè) 共 29 頁(yè) 5.FPGA/。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 13 頁(yè) 共 29 頁(yè) 1.與其他的硬件描述語(yǔ)言相比,VHDL 具有更強(qiáng)的行為描述能力,從而決定了他成為系統(tǒng)設(shè)計(jì)領(lǐng)域最佳的硬件描述語(yǔ)言。 Max Plus II 概述Max Plus II 是美國(guó) Altera 公司開發(fā)的軟件,它具有操作系統(tǒng)的程序界面,采用全菜單操作和鼠標(biāo)操作方式,是一個(gè)完全集成化,易學(xué)易用的可編程邏輯設(shè)計(jì)環(huán)境。Max Plus II 的設(shè)計(jì)流程可以用如下圖 給出。ZUOYI 是左轉(zhuǎn)控制信號(hào),高電平有效。139。u3: jiancha port map(top_jiache,top_clk,l3)。 //狀態(tài)開始狀態(tài)elsif(clk event and clk=39。狀態(tài)循環(huán)如下 圖所示。 )thenq=000000。圖 控制模塊仿真圖上圖仿真結(jié)果顯示:top_zuoyi 是左轉(zhuǎn)彎數(shù)控制輸入信號(hào),高電平有效。 (000000—
點(diǎn)擊復(fù)制文檔內(nèi)容
黨政相關(guān)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1