freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga數(shù)字式頻率計設(shè)計(留存版)

2024-12-07 12:04上一頁面

下一頁面
  

【正文】 3 誤差分析 ...................................................12 倒數(shù)計數(shù)器 .................................................13 等精度測量 .......................................................14 2 方案設(shè)計 ???????????????????????????? ..8 第三章 數(shù)字式頻率計的單元電路設(shè)計 1 各模塊的功能及實現(xiàn) .......................................................16 晶體振蕩電路 .......................................................16 分頻器 .............................................................17 波形整形電路 .......................................................19 閘門選擇器 .........................................................20 測頻控制器 .........................................................21 頻率計數(shù)器 .........................................................23 鎖存器 .............................................................25 掃描顯示控制譯碼系統(tǒng) ...............................................26 第四章 數(shù)字式頻率計的實現(xiàn) 頂層原理圖 ..............................................................30 分配引腳和下載實現(xiàn) ......................................................31 測試結(jié)果 ................................................................31 結(jié)論 ....................................................................31 心得體會: ..............................................................32 第一章 引 言 數(shù)字系統(tǒng)設(shè)計方法概述 數(shù)字系統(tǒng)設(shè)計是高層次設(shè)計,概念驅(qū)動式設(shè)計,無須通過門級原理圖描述電路,而是針對設(shè)計目標(biāo)進(jìn)行功能描述,由于擺脫了電路細(xì)節(jié)的束縛,設(shè)計人員可以把精力集中于創(chuàng)造性的概念構(gòu)思與方案上,一旦這些概念構(gòu)思以高層次的形式輸入電腦后, EDA 系統(tǒng)就能以規(guī)則驅(qū)動的方式自動完成整個設(shè)計。 VHDL 語言基本語句分為順序( sequential)描述語句和并發(fā) (concurrent)描述語句。 第三檔:閘門時間為 時,最大讀數(shù)為 。將太陽日分為24 60 60 份,得到的秒為零類世界時 (記作 0UT ),其準(zhǔn)確度在 610? 量級。門控信號的作用時間 T 是非常準(zhǔn)確的,以它作為時間基準(zhǔn) (時基 ),它由時基發(fā)生器提供。從公式 (43)可知,不管計數(shù)值 N 多少,其最大附錄 10 誤差總是177。所以,為了提高測量低頻時的準(zhǔn)確度,即減小 1? 誤差的影響,可改成先測量周期 xT ,然后計算 xx Tf /1? 因為 xf 越低,則越大,計數(shù)器計得的數(shù) N 也越大,1? 誤差對測量結(jié)果的影響自然減小。前兩種測量法的原理,在上面的實驗原理里面已經(jīng)詳細(xì)的討論了,不再累述。原理圖如下: 圖 48 同等度測量的原理圖 計數(shù)控制器將標(biāo)準(zhǔn)信號分頻為預(yù)置信號,預(yù)置閘門信號與被測信號作用同步 之后輸出實際閘門信號,作為周期計數(shù)器和脈沖計數(shù)器的計數(shù)使能信號。 use 。 end if。 architecture structure of Fdiv3 is ponent fdiv is Generic ( rate : integer :=10 )。生成的模塊如下圖所示: 圖 413 閘門選擇器 該模塊有六個輸入端口,其中 se1,se10,se100 為選擇使能端, f1hz,f10hz,f100hz 為被選時基信號輸入端。039。 dp3 =39。 use 。 reset=(not bsignal)and(not G1)and (G2)。 carry_out : out std_logic。 end if。這樣的級聯(lián)形成了同步計數(shù),是一種計數(shù)較快的級聯(lián)方式,生成的原理模塊如下: 圖 419 級聯(lián)后的計數(shù)器 計數(shù)器模塊級聯(lián)的程序跟頻率計級聯(lián)程序雷同,這里不再貼出,有興趣的朋友可以參考附錄。 use 。 end process。 when 0100 = led = 1001100。 case sel is when 000 = out0 = led。 when 100 = if q_over = 39。 and dp2 /= 39。比如七段 LED 管的控制信號就連接到實際電路的七個引腳。 在實踐過程中不可避免的遇到了很多問題以及設(shè)計中一些不足的地方。 result6 : out std_logic_vector(3 downto 0))。139。 numin3 : in std_logic_vector(3 downto 0)。 numout4=numin4。 rchitecture Behavioral of latch is begin process(latchin) begin if rising_edge(latchin) then overout=overin。 entity latch is port ( latchin : in std_logic。 U4 : counter Port map ( rst = clear, clk = Csignal, carry_in = carry3, carry_out = carry4, count_out = result4 )。 result2 : out std_logic_vector(3 downto 0)。究其原因,除了數(shù)字測量本身的正負(fù) 1? 誤差和標(biāo)準(zhǔn)信號引起的誤差外,還發(fā) 現(xiàn)低頻信號的上升沿和下降沿的變化較緩慢,影響了計數(shù)器的計數(shù)值,造成了測量精度的下降。 以下是最后的仿真,第一個是局部的放大,第二個仿真結(jié)果的整體。 when 010 = if q_over = 39。039。 out2=1111111。 then case data is when 0000 = led = 0000001。 begin scan : process (f1khz) begin if rising_edge(f1khz) then if sel = 101 then sel = 000。輸入端包括掃描信號 1KHz,由前面產(chǎn)生在本模塊起控制作用的溢出信 號Q_ over,小數(shù)點指示信號 Dp1,Dp2 以及鎖存器的輸出結(jié)果。有清零端和保持端,通過對計數(shù)器的級聯(lián)就可以實現(xiàn)十進(jìn)制六位數(shù)的計數(shù)。 then if count 1001 then count = count+1。 use 。 end if。設(shè)置鎖存器的好處是,顯示的數(shù)據(jù)穩(wěn)定,不會由于周期性的清零信號而不斷閃爍。139。039。 end structure。 clkout10 : out std_logic。 then if t /= rate then t = t + 1。生成的分頻器模塊如下圖所示: 圖 410 分頻器模塊 程序中使用了隸屬函數(shù) generic, Generic ( rate : integer :=10 );定義了一個整形變量 rate,通過修改這個整形變量 rate 的值,可以實現(xiàn)分頻器分頻數(shù)的改變。由此可知被測信號的頻率真實值為: ccc xx fNN Nf ??? (412) 若不計標(biāo)準(zhǔn)信號時鐘的誤差,則測量的相對誤差為: cccxxx fNNf ff ?? 1%1 0 039。定標(biāo)器實質(zhì)上起分頻作用,時鐘通過門Ⅲ由定標(biāo)器計數(shù),當(dāng)計完 N 個時鐘后,計數(shù)器溢出并輸出一 個進(jìn)位脈沖,即每計完 N 個時鐘輸出一個脈沖,故定標(biāo)器輸出頻率為 Nfc 或周期為 NTc ,后者通過主門Ⅱ計數(shù),主門Ⅱ的閘門時間為 ncT 10? ,計數(shù)器計得的數(shù)為 ncncf NNTTN 10110 ??? (48) 測周模式計得的數(shù)為 cx TTN? (49) 從公式( 48)和公式( 49)可見,計數(shù)器 II 計得的數(shù) fN 正比于 N 的倒數(shù),從而完成了倒數(shù)的運(yùn)算,也就是說,可從計數(shù)器 II 上直接讀被測頻率 xf ,其顯示的位數(shù)由分頻系數(shù) n10 而定。 附錄 11 圖 43 誤差曲線 從圖可知, xf 一定時,閘門時間 T 選得越長,測量準(zhǔn)確度就越高。這樣,在相同的主門 開啟時間內(nèi),計數(shù)器所計得的數(shù)卻不一定相同,當(dāng)主門開啟時間 T 接近甚至等于被測信號周期 xT 的整數(shù)倍 N 倍時,此項誤差為最大,圖 42 畫出的就是這種情況。 附錄 8 電子計數(shù)器測頻方法 目前,絕大多數(shù)實驗室用電子計數(shù)器都具有測量頻率 (測頻 )和測量周期 (測周 )等兩種以上的測量功能,故統(tǒng)稱“通用計數(shù)器 .各種測量功能可利用《功能選擇》開關(guān)加以選擇。要計量時間需要有固定不變的時間單位,用秒作為時間的基本單位。 測試頻率范圍為: 10Hz~ 1MHz。 VHDL 語言四類語言要素是指數(shù)據(jù)對象( data object)、數(shù)據(jù)類型( data type)、操作數(shù)( operands)和操作符( operator)。該設(shè)計的頻率計能準(zhǔn)確的測量頻率在 10Hz 到 1MHz 之間的信號。可 編程邏輯器件和 EDA 技術(shù)使 傳統(tǒng) 設(shè)計方法發(fā)生了質(zhì)的變化 ,把以前 “ 電路設(shè)計 +硬件搭配 +調(diào)試焊接 ” 轉(zhuǎn)化為 “ 功能設(shè)計 +軟件模擬 +仿真下載 ”。硬件執(zhí)行時一般是并發(fā)執(zhí)行,而仿真執(zhí)行時采用順序執(zhí)行或并發(fā)執(zhí)行均可。 以上三檔 ,實際測得的頻率是 10Hz~ 。地球自轉(zhuǎn)受到極運(yùn)動 (極移引起的經(jīng)度變化 )的影響,校正了這個偏差而得到的地球自轉(zhuǎn)的周期,稱為第一世界時 (記作 1UT )。時基信號發(fā)生一個高穩(wěn)定的石英振 蕩器和一系列數(shù)字分頻器組成,由它輸出的標(biāo)準(zhǔn)時間脈沖 (時標(biāo) )去控制門控電路形成門控信號。 1 個計數(shù)單位,故稱“177。 測量周期的基本原理 計數(shù)器測量周期的原理方框圖如圖 44 所示。這兩種方法由誤差分析可知,其精度都與被測信號的有關(guān),因而它們是非等精度測量法。同時在實際閘門信號關(guān)斷的時間里,計數(shù)控制器產(chǎn)生一個清數(shù)脈沖,用以清除計數(shù)器內(nèi)的計數(shù)值,以備下一次計數(shù),該清零脈沖同時還作為一次計數(shù)結(jié)束后,將計數(shù)值進(jìn)行計算,譯碼顯示的鎖存信號,不然,數(shù)碼管的顯示將因為數(shù)值的不停跳動而無法看清楚。 use 。 end process。 Port ( f_in : In std_logic。當(dāng) se1 為 1 時, f1hz 的輸入時基信號被選中,被賦值給輸出端口 fref輸出,此時 DP1 有效, DP2 和 DP3 無效,點亮 DP1 連接的小數(shù)點;當(dāng) se1 為 0,se10 為 1 時,f10hz 時基信號被選中, DP2 有效, DP1和 DP3 無效,點亮由 DP2 連接的小數(shù)點;最后當(dāng) se1和 se10 都無效時,即都為 0 時, se100為 1 時, f100hz 端
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1