freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

課程設(shè)計(jì)-基于fpga的出租車(chē)計(jì)價(jià)器設(shè)計(jì)(留存版)

  

【正文】 費(fèi)功能和等待功能。出租車(chē)到達(dá)目的地停止后,停止計(jì)費(fèi)器,顯示總費(fèi)用。 計(jì)數(shù)分頻器使用五個(gè)這樣基本的分頻器( 35分頻)組合而成,控制模塊分頻器使用三個(gè)這樣基本的分頻器( 35分頻)組合而成。 FULL:=39。 architecture one of JC is SIGNAL Q1,Q0:std_logic_vector(3 downto 0)。Q0=Q0+1。139。 BEGIN IF SS=39。Q0:=Q0+1。 use 。 AIN(i*4+3 downto i*4))+(39。 library IEEE。 ELSIF DN=39。 FY1(4 DOWNTO 0):=10010。 不加收 ELSE 加收路程費(fèi) FY1:=bcd_add8(FY1,DDSJ)。 when 0010 =dout1=1101101。 when 0110 =dout0=1011111。 begin if clk139。 when 01=s2=101。 dnpd:out std_logic。 LC:BUFFER std_logic_vector(7 downto 0))。 dout0:out std_logic_vector(6 downto 0))。 u1:bz port map(aj=dn,bz=a)。 end architecture one。 9. 收獲體會(huì) 經(jīng)過(guò) 兩個(gè)星期的課程設(shè)計(jì) ,我收益頗多。s 21 win. Michel Platini, president of European football39。s time, your ideas are always special to clear. Want, want, line is clear, as if nothing could shake his. Also once seemed to be determined to do something, but more often is he backed out at last. Dislike his cowardice, finally found that there are a lot of love, there are a lot of miss, like shadow really have been doomed. Those who do, just green years oneself give oneself an arm injection, or is a selfrighteous spiritual. 一個(gè)人的時(shí)候,自己的想法總是特別地清晰。ll be traveling fast, so despite the distance, it will not be like diving into the deep end of a pool. It will be like he is diving into the shallow end. Skydiver preps for the big jump When he jumps, he is expected to reach the speed of sound 690 mph (1,110 kph) in less than 40 seconds. Like hitting the top of the water, he will begin to slow as he approaches the more dense air closer to Earth. But this will not be enough to stop him pletely. If he goes too fast or spins out of control, he has a stabilization parachute that can be deployed to slow him down. His team hopes it39。t want to give vent to out your inner voice can be in the high school children of the feelings in a period, but was eventually infarction when graduation party in the throat, later again stood on the pitch he has sweat profusely, looked at his thrown a basketball hoops, suddenly found himself has already can39。s antiracism taskforce, is in London for the Football Association39。 DN按下(即發(fā)光二極管發(fā)光),說(shuō)明是黑夜?fàn)顟B(tài): ( 1) 按下 SS,計(jì)費(fèi)數(shù)碼管顯示 12,記程數(shù)碼管開(kāi)始變化。 u13:xzsc port map(jf=x,jc=z,wt=y,sel=k,q=W)。 signal a,b,c,d,e,f,i,j,m,n:std_logic。 FY:OUT STD_LOGIC_VECTOR(7 DOWNTO 0))。 DDJFBZ:OUT STD_LOGIC。 use 。 entity sel2 is port(sel2:in std_logic_vector(1 downto 0)。 entity sel1 is port(clk1:in std_logic。 when 0010 =dout0=1101101。 dout0:out std_logic_vector(6 downto 0))。 一超過(guò)等待收費(fèi)時(shí)間,就立即加收等待時(shí)的每個(gè)脈沖加 2 END IF。039。 architecture ONE of JF is BEGIN 討論白天 /黑夜?路程計(jì)費(fèi)?等待計(jì)費(fèi)? PROCESS(SS,DN,LC,DDSJ,DDJFBZ,LCJFBZ) VARIABLE FY1 :STD_LOGIC_VECTOR(11 DOWNTO 0)。 end loop。)。 : : SSDNLC [7. .0]D D SJ [7. .0]LC J F BZD D J F BZF Y [7. .0]JFins t3 費(fèi)用計(jì)數(shù)器模塊用于出租車(chē)啟動(dòng)后,根據(jù)行駛路程和等待時(shí)間計(jì)算費(fèi)用。Q0:=0000。 DDJFBZ:OUT STD_LOGIC。039。Q0=0000。 use 。 THEN IF CNT=100 THEN CNT:=000 。 (8)譯碼模塊:實(shí)現(xiàn)將車(chē)費(fèi)計(jì)數(shù)模塊、等待狀態(tài)模塊和里程計(jì)數(shù)模塊輸出的 BCD 碼轉(zhuǎn)換成七段碼輸出。 控制芯片:采用的有 CPLD 或者 FPGA 等。 ( 2)實(shí)現(xiàn)模擬功能:能模擬汽車(chē)啟動(dòng)、停止。本文介紹了一個(gè)以 Altera公司可編程邏輯芯片 cyclone2 系列 的 EP2C5T144C8 的 FPGA芯片 為控制核心、附加一定外圍電路組成的出租車(chē)計(jì)費(fèi)器系統(tǒng)。 ( 4)設(shè)計(jì)超過(guò)三公里提醒功能。 (4)計(jì)程模塊:在等待信號(hào)未作用時(shí),來(lái)一個(gè)時(shí)鐘脈沖信號(hào),里程值加 1。 FOUT:OUT STD_LOGIC)。 END PROCESS。Q0=0000。 use 。 計(jì)時(shí) 模塊: 計(jì)時(shí) 模塊的框圖 : C LKSSD D BZD D J F BZD D SJ [7. .0]D D Z Tins t1 此模塊用于計(jì)算停車(chē)等待的時(shí)間。039。 END IF。 VARIABLE CI : std_logic_vector(4 downto 0)。 if ((SA(i)(4)=39。 開(kāi)始 /停止信號(hào) ,低電平停止,高電平開(kāi)始 DN:IN STD_LOGIC。039。 IF DN=39。 : 白天模式 黑夜模式 從波形圖可以看出 DN為高電平選擇白天模式進(jìn)行計(jì)費(fèi), DN為低電平選擇黑夜模式進(jìn)行計(jì)費(fèi)。 when 0111 =dout1=1110000。 end case。 end if。 end bbb_arc。 BZ:OUT STD_LOGIC)。 end ponent。 end ponent。 u6:pulse port map(clk0=i,fout=j)。我們反復(fù)檢查程序后發(fā)現(xiàn)數(shù)碼管 a~g 的硬件引腳與軟件引腳接反了。 : [1] 夏宇聞 , VerlogHDL 數(shù)字系統(tǒng)設(shè)計(jì)教程 ,北京航空航天大學(xué)出版社?;蛟S是愧疚于自己似乎把轉(zhuǎn)瞬即逝的很多個(gè)不同的日子過(guò)成了同一天的樣子;或許是追溯過(guò)去,對(duì)自己那些近乎偏執(zhí)的怪異信念的醒悟,這些天以來(lái),思緒一直很凌亂,在腦海中不斷糾纏。 At the moment, the sky is dark, the air is fresh factor after just rained. Suddenly thought of blue plaid shirt。 From the corner at the beginning of deep friendship。二十年的人生軌跡深深淺淺,突然就感覺(jué)到有些事情,非做不可了。 g an employment tribunal claim Employment tribunals sort out disagreements between employers and employees. You may need to make a claim to an employment tribunal if: ? you don39。 8. 調(diào)試結(jié)果說(shuō)明及分析 DN不按下(即發(fā)光二極管不亮),說(shuō)明是白天狀態(tài): ( 1) 按下 SS,計(jì)費(fèi)數(shù)碼管顯示 09,記程數(shù)碼管開(kāi)始變化。 u8:pulse port map(clk0=m,fout=n)。 s1:out std_logic_vector(1 downto 0))。 DN:IN STD_LOGIC。
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1