freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)設(shè)計電子密碼鎖(專業(yè)版)

2025-01-12 21:57上一頁面

下一頁面
  

【正文】 該芯片是一種基于查找表結(jié)構(gòu)的現(xiàn)場可編程邏輯器件,它的基本邏輯單元是可編程的查找表,能夠?qū)崿F(xiàn)組合邏輯運(yùn)算,并可用可編程寄存器實現(xiàn)時序邏輯運(yùn)算。 上面程序是鍵盤譯碼電路部分的 VHDL 代碼,用于判斷是否有鍵按下以及那個鍵按下; U1: decode port map(ssin=dc0, ssout=d0)。 unlocks=39。 dc3=0000。 elsif(counter211110) then counter2=counter2+1。 end if。 elsif(dcc=0001101) then dcc1=00110。 else counter=counter+1。 end process P1。 signal dcc1 : std_logic_vector(4 downto 0)?,F(xiàn)代電子系統(tǒng)設(shè)計人員應(yīng)該把 VHDL語言作為一種基礎(chǔ)知識來學(xué)習(xí),并要求能夠熟練地使用 EDA的設(shè)計工具。Altera 在 Quartus II 中包含了許多諸如 SignalTap II、 Chip Editor 和 RTL Viewer 的設(shè)計輔助工具,集成了 SOPC 和 HardCopy 設(shè)計流程,并且繼承了在設(shè)計流程的各個階段使用熟悉的第三方 EDA 工具。 圖 31電子密碼鎖系統(tǒng)總體框圖 BCD 七段譯碼顯示電路 撥碼與按鍵 單脈沖控制 輸入譯碼 控制模塊 寄存器與清零信號發(fā)生電路 數(shù)值比較 器 開 /關(guān)鎖電路 三選一選擇器 掃描電路 LED 燈 顯示模塊 輸入模塊 第 6 頁 (共 23 頁 ) 四、 功能模塊 輸入模塊 ( 1) 功能介紹 輸入時有三個撥碼鍵控制輸入,每個撥碼各控制一位密碼,對于其中一個撥碼鍵每撥一次碼按一次按鍵,表示輸入一位,當(dāng)輸入四位時輸出一位數(shù),用“ 888”作為初始密碼。 ( 1) 密碼鎖輸入電路包括時序產(chǎn)生電路、鍵盤掃描電路、鍵盤彈跳消除電路、鍵盤譯碼電路等幾個小的功能電路。由于電子鎖的密鑰量(密碼量)極大,可以與機(jī)械鎖配合使用,并且可以避免因鑰匙被仿制而留下安全隱患。電子密碼鎖的研究從 20世紀(jì) 30 年代就開始了,在一些特殊場所早就有所應(yīng)用。設(shè)計者的工作只限于軟件的方式來完成對舊的硬件功能描述,要在 EDA工具的幫組下,就應(yīng)用相應(yīng)的FPGA 器件就可以得到最后的設(shè)計結(jié)果。液晶顯示具有高速顯示、可靠性高、易于擴(kuò)展和升級的特點(diǎn),但是普通的液晶存在亮度低、對復(fù)雜環(huán)境適應(yīng)能力差的特點(diǎn),但是在本設(shè)計中任然使 第 5 頁 (共 23 頁 ) 用 LED 數(shù)碼管。對第三方 EDA 工具的良好支持也使用戶可以 artus II 通過和 DSP Builder工具與 Matlab/Simulink 相結(jié)合,可以方便地實現(xiàn)各種 DSP應(yīng)用系統(tǒng);支持Altera 的片上可編程系統(tǒng)( SOPC)開發(fā),集面及簡便的使用方法系統(tǒng)級設(shè)計、嵌入式軟件開發(fā)、可編程邏輯設(shè)計于一體,是一種綜合性的開發(fā)平臺。 第二, VHDL 采用類似高級語言的語句格式完成對硬件行為的描述,具備更強(qiáng)的模塊化能力,并擁有良好的可讀性以及程序的移植性。 signal counter : std_logic_vector(2 downto 0)。139。) or (koff=39。 elsif(dcc=0111110) then dcc1=00011。039。) then counter2=00000。) then dc0=0000。 lock3=dc3。 end if。 end a。使用 可編程邏輯 器件不僅省去了電路制作的麻煩 ,還可以反復(fù)多次進(jìn)行硬件實驗 ,非常方便的修改設(shè)計 ,且設(shè)計的電路保密性很強(qiáng)。 U4: decode port map(ssin=dc3, ssout=d3)。139。 unlocks=39。139。 上面程序是鍵盤掃描電路部分的程序,用來 提供鍵盤掃描信號; P4: process(test,clk,clr) begin if(clr=39。 elsif(dcc=1011101) then dcc1=01001。 end process P2。 when counter1=01 else 39。 signal clk1,test,koff: std_logic。 USE 。 VHDL 語言在編程時要更加規(guī)范,程序結(jié)構(gòu)要適合整個系統(tǒng) 的硬件結(jié)構(gòu),要符合各模塊的信號時序關(guān)系,以及數(shù)據(jù)流的走向。當(dāng)密碼輸入錯誤時, LOCKOPEN 燈亮, LOCKCLOSE 燈滅,表示開鎖失敗。如,若選用七段數(shù)碼管顯示電路,主要將待顯示數(shù)據(jù)的 BCD碼轉(zhuǎn)換成數(shù)碼器的七段顯示驅(qū)動編碼。但較實用的還是按鍵式電子密碼鎖。s living standard, the lock as home security guards increasingly important, pared with ordinary mechanical locks have some unique advantages, especially its confidentiality, antitheft performance, you can not have the key, just rememberThe password to unlock. As a software platform to QUARTUSII VHDL hardware language description of the design based on programmable logic devices, bined with the advantages of EDA technology, high reliability, highsecurity electronic lock design bees simple. Key words : electronic password lock EDA VHDL 第 3 頁 (共 23 頁 ) 引 言 隨著人們生活水平的提高和安全意識的加強(qiáng),對安全的要求也就越來越高。 一、緒 論 EDA技術(shù)簡 介 EDA 即電子設(shè)計自動化,是近幾年來迅速發(fā)展起來的計算機(jī)軟件、硬件、微電子技術(shù)交叉運(yùn)用的現(xiàn)代電子學(xué)科,是 20 世紀(jì) 90 年代從 CAD( 計算機(jī)輔助設(shè)計 ) 、 CAM( 計算機(jī)輔助制造 ) 、 CAT(計算機(jī)輔助翻譯 )和 CAE(計算機(jī)輔助工程 )的概念發(fā)展而來的。 硬件的選擇 作為電子密碼鎖的輸入電路,可選用的方案有撥碼與按鍵來控制輸入和觸摸式鍵盤輸入等多種。 Quartus II 可以在 XP、 Linux 以及 Unix 上使用,除了可以使用 Tcl 腳本完成設(shè)計流程外,提供了完善的用戶圖形界面設(shè)計方式。 VHDL 是為 了滿足邏輯設(shè)計過程中的各種需求而設(shè)計的。 locks,unlocks: OUT STD_LOGIC )。) then counter1=00。139。) then if(dcc=0001110) then dcc1=00000。 elsif(dcc=1001110) then dcc1=10000。139。139。) then lock0=dc0。 dc1=dc0。 ssout: out std_logic_vector(0 to 6) )。同時闡述電子密碼鎖的工作原理和軟硬件實現(xiàn)方法。 第 18 頁 (共 23 頁 ) 此部
點(diǎn)擊復(fù)制文檔內(nèi)容
法律信息相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1