freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga無(wú)葉風(fēng)扇控制器的設(shè)計(jì)與制作(完整版)

  

【正文】 控制 ponent PWM port( CLK : in std_logic。四個(gè)獨(dú)立按鍵 SAMPLING_FLAG : in std_logic。轉(zhuǎn)換成功標(biāo)志 SDA : inout std_logic。 RESET : in std_logic。 SCL : out std_logic。 use 。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 19 致謝 本論文設(shè)計(jì)在劉子堅(jiān)老師的悉心指導(dǎo)和嚴(yán)格要求下業(yè)已完成,從課題選擇到具體的寫(xiě)作過(guò)程,無(wú)不凝聚著劉子堅(jiān)老師的心血和汗水,在我的畢業(yè)論文寫(xiě)作期間,劉子堅(jiān)老 師為我提供了種種專業(yè)知識(shí)上的指導(dǎo)和一些富于創(chuàng)造性的建議,沒(méi)有這樣的幫助和關(guān)懷,我不會(huì)這么順利的完成畢業(yè)論文。完成整個(gè)系統(tǒng)實(shí)物裝配與調(diào)試。在經(jīng)過(guò) UA741 集成運(yùn)算放大器構(gòu)成 電壓跟隨器 ,信號(hào)緩沖隔離,阻抗匹配輸入到 串口 AD轉(zhuǎn)換電路 。 起停功能 按起動(dòng)功能鍵可實(shí)現(xiàn)無(wú)葉風(fēng)扇的起動(dòng)功能,按停止功能鍵可實(shí)現(xiàn)無(wú)葉風(fēng)扇的停止功能,在開(kāi)機(jī)時(shí)控制器要處于停止?fàn)顟B(tài),設(shè)定值和測(cè)量值均顯示“ 000”。 DATA_SV : in std_logic_vector(11 downto 0)。 end ponent 。 KEY1,KEY2,KEY3,KEY4 : in std_logic 。AD7991 每次轉(zhuǎn)換標(biāo)志 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 14 LED3:out std_logic。gfedcba Anode BIT8 :out std_logic_vector(0 to 7)bit8(7) to the first smg 按鍵子程序 ponent ManyKeys port( CLK : in std_logic。人體紅外熱釋電感應(yīng)到就燈亮 按鍵控制 KEY_IN : in std_logic_vector(3 downto 0)。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 11 差動(dòng)放大電路 圖 2- 7 差動(dòng)放大電路 圖 電源電路采用 2 個(gè)二極管 VD1 VD2 限幅保護(hù)作用。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 9 電機(jī)驅(qū)動(dòng)電路 圖 2- 6 電機(jī)驅(qū)動(dòng)電路 圖 該電機(jī)驅(qū)動(dòng)電路采用光耦 TLP521 隔離,還附加了 LED 指示燈,方便調(diào)試。其中 , 和 用了很多電容抗高頻干擾,保證了FPGA 的工作穩(wěn)定。采用 AD7991,該轉(zhuǎn)換芯片的工作電壓及參考電壓選擇為 +,而信號(hào)調(diào)理電路輸出電壓范圍為 0~ 5V,因此需要分壓、緩沖電路實(shí)現(xiàn) 0~ 5V 到 0~ 轉(zhuǎn)換,在運(yùn)放同相 端及輸出接口處加二極管進(jìn)行限幅,實(shí)現(xiàn)對(duì)運(yùn)放及后級(jí)電路的保護(hù); A/D 芯片輸出接口采用 IIC 接口,在其輸出 SCL、 SDA部分必須接上拉電阻;為了提高測(cè)量精度, Vin3 在此處接參考電壓。整個(gè)硬件的框圖如圖所示。同時(shí)通過(guò) FPGA 芯片還可以實(shí)現(xiàn)測(cè)量和設(shè)定顯示,使用 6 個(gè)數(shù)碼管將傳感器測(cè)量到的壓強(qiáng),設(shè)定的壓強(qiáng)。 20xx 年 2 月在香港推出第二代??諝馊~片干手器的原理是迫使空氣經(jīng)過(guò)一個(gè)小口來(lái)“吹”干手上的水,空氣增倍器是讓空氣從一個(gè) 毫米寬、繞著圓環(huán)放大器轉(zhuǎn)動(dòng)的切口里吹出來(lái)。杭州電子科技大學(xué) 繼 續(xù) 教 育 學(xué) 院 畢業(yè)設(shè)計(jì)(論文) 題 目 基于 FPGA 無(wú)葉風(fēng)扇控制器的設(shè)計(jì)與制作 學(xué)習(xí)類別 成人本科 年 級(jí) 20xx級(jí) 專 業(yè) 電子信息工程 班 級(jí) 金華學(xué)習(xí)中心 (函授站) 學(xué) 號(hào) 11131401007 學(xué)生姓名 彭召翔 指導(dǎo)教師 劉子堅(jiān) 完成日期 20xx 年 5 月 15 日 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 基于 FPGA無(wú)葉風(fēng)扇控制器的設(shè)計(jì) 與制作 杭州電子科技 大學(xué)電子信息工程 專業(yè) 彭召翔 摘要 本產(chǎn)品是無(wú)葉風(fēng)扇的核心部件之一,使用簡(jiǎn)單,運(yùn)行穩(wěn)定可靠。由于空氣是被強(qiáng)制從這一圓圈里吹出來(lái)的,通過(guò)的空氣量可增原先的 15 倍,它的時(shí)速可達(dá)到 35 公里。要讓無(wú)葉風(fēng)扇實(shí)現(xiàn)我們需要的功能,必須要加一個(gè)控制器來(lái)控制風(fēng)的大小、方向等等功能。其方框圖如下: 圖 1- 2 方案 2 結(jié)構(gòu)框圖 方案論證與確定 通過(guò)比較兩個(gè)方案,方案 1 采用單片機(jī)為核心控制器件,該方案的優(yōu)點(diǎn)是容易控制,系統(tǒng)原理比較簡(jiǎn)單,電路可靠,容易實(shí)現(xiàn)控制目的。 圖 2- 1 整個(gè)硬件的 結(jié)構(gòu)框圖 整個(gè)電路的工作原理是由 100MHz 石英晶振 FPGA 提供時(shí)鐘信號(hào), FPGA 芯片所需要的 和 電壓由外部的 5V 電源通過(guò)電源電路獲得。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 6 人機(jī)接口電路與人體紅外感應(yīng)電路 圖 2- 3 人機(jī)接口與人體紅外感應(yīng) 電路 圖 本系統(tǒng)人機(jī)接口電路采用獨(dú)立按鍵加數(shù)碼管相結(jié)合的方式。同時(shí)在圖中還有 EPROM,在電路中 R43 作為 M93C66 的 4腳的下拉電阻。當(dāng)JH1 為低電平時(shí) 2 腳導(dǎo)通, LED 指示燈亮。瓷片電容 C3 至 C6 100PF并聯(lián)在正負(fù)電源上起高頻抗干擾的作用。四個(gè)按鍵 AD7991 采樣 LED3:out std_logic。 RESET : in std_logic。轉(zhuǎn)換成功標(biāo)志 SDA : inout std_logic。四個(gè)獨(dú)立按鍵 SAMPLING_FLAG : in std_logic。 PWM 控制 ponent PWM port( CLK : in std_logic。 DATA_PV : in std_logic_vector(11 downto 0)。 無(wú)葉風(fēng)扇運(yùn)行時(shí)實(shí)際輸出的氣壓應(yīng)閉環(huán)控制,跟隨設(shè)定的氣壓。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 17 .面板介紹 圖 3- 2 面板示意圖 所示為本系統(tǒng)的前面板,主要包括顯示區(qū)、按鍵區(qū)、電源開(kāi)關(guān)及傳感器信號(hào)輸入。 、安全、環(huán)保、 健康、省電、方便、價(jià)廉等優(yōu)勢(shì),在市場(chǎng)中有一定的競(jìng)爭(zhēng)力的產(chǎn)品。在此向劉子堅(jiān)老師表示深深的感謝和崇高的敬意。 entity Total_Program is port( CLK : in std_logic 。 AD_CONVERSION_SPEED :out std_logic。 KEY_IN : in std_logic_vector(3 downto 0)。 SCL : out std_logic。AD7991 每次采樣標(biāo)志 INFRARED_RECEIVE : in std_logic。 RESET : in std_logic。 DOT: out std_logic。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 26 signal sv_smg,pv_smg : std_logic_vector(11 downto 0)。 use 。 architecture Behavioral of ManyKeys is ponent Independent_Keys port( CLK : in std_logic。 程序功能:?jiǎn)蝹€(gè)按鍵按下并要求釋放后才算一次按鍵,按下和釋放都去抖了,一次按鍵按下并釋放后 按鍵輸出只持續(xù) 10ms 高電平 library IEEE。 end Independent_Keys。clk100hz=39。 process(clk100hz,RESET)按鍵消抖程序塊 begin if RESET=39。139。 then current_state=s0。再次檢測(cè)有鍵按下否 else KOUT=39。出現(xiàn)后先不急著電平翻轉(zhuǎn),在等個(gè) 10ms 消除釋放抖動(dòng) end if。 end process。 entity ack_check is port( CLK : in std_logic。 end ack_check。039。 AD7991 IIC 協(xié)議進(jìn)程 process(clock,RESET) variable count1:integer range 0 to 16。 data_reg=000000000000。1 byte length AD_CONVERSION_SPEED=39。139。current_state=transmit_slave_address。039。 end case。 then count1:=0。 when transmit_reg = count1:=count1+1。 if t1=0 then t1:=8。Z39。039。停止 case count1 is when 1=SDA=39。 when others=null。039。讀地址 case count1 is when 1 =SDA=read_address(t1)。current_state=read_check_ack1。 when 2 =SCL=39。 end if。 when others =null。 when 3 =if SDA=39。 end if。139。039。 read conversion when read_start = count1:=count1+1。 when 2=SCL=39。039。139。 else current_state=transmit_reg。 when 2=SCL=39。SCL=39。 應(yīng)答信號(hào)檢查 case count1 is when 1 =SDA=39。count1:=0。 end case。139。 slave_address:=01010000。139。 variable t: std_logic_vector(6 downto 0)。 elsif rising_edge(CLK) then if count=500000 then count:=0。 signal data_reg: std_logic_vector(11 downto 0)。 Sampling_fre: out std_logic。 程序介紹 該 AD 轉(zhuǎn)換采用的是 12bits 的串行 iic 協(xié)議的 AD7991, AD7991 可以在三種模式下運(yùn)行,分別是 標(biāo)準(zhǔn)模式,快速模式,高速模式,對(duì)應(yīng)的時(shí)鐘最高分別為 100K, 400K,和 。高電平出現(xiàn)后延時(shí) 10ms,消除杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 29 上升沿抖動(dòng) when s
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1