【正文】
st1,st2,st3,st4,st5,st6,st7)。data_out=d(0)。data_out=d(1)。data_out=d(2)。data_out=d(3)。data_out=d(4)。data_out=d(5)。data_out=d(6)。data_out=d(7)。 end process。 elsif t1=01111111 then clk_out=39。 Flow Smmary: ADC0809 模塊: library ieee。 定義輸入端口 LOCK,start Din : in std_logic_vector(7 downto 0))。 function division(data_in : integer) return integer is variable data : integer range 0 to 255。 BEGIN PROCESS(start,REGL,current_state,EOC,en,lock,Din,Dout_int,V0,V1,V2,V3,V4,V5,V6,V7,buf0,buf1,buf2,buf3,buf4,buf5,buf6,buf7) BEGIN CASE current_state IS when st0= next_state=st1。V2=0。 buf6=(Dout_intV7*128)*2。 buf2=(buf3V3*128)*2。)THEN next_state=st3。 if V1=0 then REGL(1)=39。139。039。 end if。 else REGL(7)=39。 THEN ADC_DISPLY=REGL。event and CLK=39。 else add=add。 2, 開(kāi)學(xué)第 一周老師就布置了課題,雖然沒(méi)開(kāi)始實(shí)際動(dòng)手去做,卻做了大量的準(zhǔn)備工作,在網(wǎng)上收集很多資料,大體搞懂了 ADC0908 的工作狀態(tài)以及工作模式,對(duì) FPGA 有了一定的了解,對(duì)整個(gè)設(shè)計(jì)思路有了大概的認(rèn)識(shí)和了解。對(duì) quartus||這個(gè)軟件相當(dāng)?shù)哪吧芏喙δ苣K都不清楚, 再加之對(duì)編寫(xiě)程序的不 擅長(zhǎng), 整個(gè)軟件寫(xiě)的異常困難。因?yàn)檎n本中沒(méi)有具體事例,所有具體實(shí)例都得自己去找,鍛煉了分析和解決問(wèn)題的能力。實(shí)際電路中, sig 信號(hào)將被實(shí)際的 AD590 測(cè)溫電路所替代,整個(gè)系統(tǒng)將根據(jù)傳回的實(shí)際變化的溫度信號(hào)進(jìn)行相應(yīng)的處理。139。 END PROCESS。 ELSIF LOCK=39。 if V7=0 then REGL(7)=39。 else REGL(5)=39。 end if。039。139。 when st2= IF(EOC=39。 buf3=(buf4V4*128)*2。 END IF。 then V0=0。 return data_out。 signal V0,V1,V2,V3,V4,V5,V6,V7 : integer range 0 to 1:=0。 定義輸入端口 ALK,EOC ADC_DISPLY : out std_logic_vector(7 downto 0)。 end process。 if t1=01111111 THEN clk_out=39。139。039。039。039。039。039。039。039。139。 LOCK,clk_out:out std_logic)。 use 。 end if。 process(clk_in) begin if (clk_in39。 定義一個(gè)輸出端口 d clk_in:in std_logic。 Fow Smmay: Conta 模塊: library ieee。 when 39=temp=11101101。129 when 31=temp=10000011。217 when 23=temp=11000111。 when 15=temp=01011001。102 when 7=temp=01110001。139。 use 。傳感器傳來(lái)的溫度變化的電流信號(hào)經(jīng)過(guò) 10k 電阻變?yōu)殡妷盒盘?hào),經(jīng)過(guò)運(yùn)算放大器后 ( V=+T/100) 結(jié)合電位器 ( V=) 的調(diào)節(jié) 后參與減法器的運(yùn)算,最終使得 V0 的數(shù)值和實(shí)際溫度的數(shù)值大小相等 。 二, 設(shè)計(jì)思路 :