freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda課程設(shè)計--乒乓球游戲電路設(shè)計(雙打)(存儲版)

2025-07-16 15:30上一頁面

下一頁面
  

【正文】 : buffer std_logic_vector(1 to 5))。 u2 :mydecoder port map(binaryin=d,bcdout1=score11,bcdout2=score12)。 I從 8開始依次遞減計數(shù),控制發(fā)光管亮暗 light 信號也隨著 i 的數(shù)值變化,由 light 端口輸出的高電平驅(qū)動芯片以外的發(fā)光二極管使之點亮,這樣就可以通過發(fā)光二極管模擬乒乓球的運動軌跡。當 “ 球 ”( 點亮的那只 LED)運動到某方的最后一位時,參賽者應能果斷地按下位于自己一方的按鈕開關(guān),即表示啟動球拍擊球。 這次課程設(shè)計總的說來收獲很大。 乒乓球游戲電路設(shè)計 14 參考資料 [1] 潘松著 .EDA 技術(shù)實用教程 (第二版 ). 北京:科學出版社 ,2021. [2] 劉艷 . EDA 技術(shù)在數(shù)字系統(tǒng)電路設(shè)計實驗中的應用 [J]. 實驗室研究與探索 ,2021,21(3): 63264 [3] 徐穎 .Protel 99 SE EDA 技術(shù)及應用 [M].西安: 機械工業(yè)出版社 .2021 [4] 楊恒,盧飛成 . FPGA/VHDL 快速工程實踐入門與提高 [M].北京航空航天大學出版社 .2021 [5]甘歷 .VHDL 應用與開發(fā)實踐 [M].科學出版社 .200 [6] 侯伯亨 .VHDL 硬件描述語言與數(shù)字邏輯電路設(shè)計 [M].西安:西安電子科技大學出版社, 1999: 27. [7] 潭會生,張昌凡 .EDA 技術(shù)及應用 [M].西安:西安電子科技大學出版社,2021 [8] 王振紅 .VHDL 數(shù)字電路設(shè)計與應用實踐教程 [M].北京:機械工業(yè)出版社,2021 乒乓球游戲電路設(shè)計 15 附錄 復合語句: use 。 end ying。 5 位二進制碼的輸入端口 bcdout1 : out std_logic_vector(1 to 7)。 狀態(tài)機: ibrary ieee。 end pingponggame。count1=00000。count1=00000。state=light1on。) or (hit4=39。 if (hit1=39。 end if。 elsif i=2 then i=1。) then i=0。139。) and (a=1) then i=2。139。 b=0。 end process。 5 位 二進制碼的輸入端口 bcdout1 : out std_logic_vector(1 to 7)。bcdout2=0110000。bcdout2=1011011。bcdout2=1111011。bcdout2=1111001。bcdout2=1110000。bcdout2=0110000。 。bcdout2=1111110。bcdout2=1011111。bcdout2=1101101。bcdout2=1111111。bcdout2=0110011。bcdout2=1111110。 use 。 end if。) and (b=1) then i=2。 end if。 elsif (hit3=39。 end if。) or (hit4=39。 count2=count2+1。state=waitserve。 end if。 if (hit2=39。count2=00000。139。139。 乒乓球游戲電路設(shè)計 15 count1,count2 : buffer std_logic_vector(1 to 5)。 u3 :mydecoder port map(binaryin=e,bcdout1=score21,bcdout2=score22)。 end ponent 。 Llight:out std_logic_vector(1 to 8)。在設(shè)計過程中。在具體設(shè)計過程中還需要改進的是控制系統(tǒng)的糾錯功能。在不允許甲乙擊球的狀態(tài)下,即 state 處在狀態(tài) 5 時, hit1 或 hit3 輸入邏輯‘ 1’,表示甲或乙擊球了,所以丙丁得分。狀態(tài)機中的 i信號和 count1,count2信號的變化同時就可以影響到外圍的顯示部分 發(fā)光二極管和七段譯碼器,從而表示出當時的乒乓球位置和雙方分數(shù)情況。 signal d,e:std_logic_vector(4 downto 0)。 serve:in std_logic_vector( 1 to 2 )。 red1,red2,green1,green2:in std_logic。 end process。bcdout2=1111011。bcdout2=1011011。bcdout2=0110000。bcdout2=1110000。bcdout2=1111001。 begin process(binaryin) begin tembinaryin=binaryin。 乒乓球游戲電路設(shè)計 7 use 。 end if。 state=ballmoveto2。139。) and (a=’ 1’ ) then i=2。 end if。 when ballmoveto2= 進程處于球向甲乙移動狀態(tài) if (hit2=’ 1’ ) or (hit4=39。1’ ) or ( hit3=39。 end if。 when others= i=0。039。b=0。下圖是各狀態(tài)相互轉(zhuǎn)換的轉(zhuǎn)換圖: 在整個程序中,狀態(tài)機起的是中央控制器的作用,由它控制的信號來影響整個程序中的其他相關(guān)部分,如記分部分,發(fā)光二極管部分。 clk:int std_logic。 模塊設(shè)計和相應模塊程序 乒乓球游戲機實體的設(shè)計 設(shè)計該乒乓球游戲機的輸入與輸出端口。 若發(fā)球后丙或丁沒有提前擊球 規(guī)定球移動到對方第一個發(fā)光二極管時允許擊球,那么狀態(tài)機從“第一盞燈亮狀態(tài)”轉(zhuǎn)移到“球向丙丁移動狀態(tài)”。目前, VHDL 已成為許多設(shè)計自動化工具普遍采用的標準化硬件描述語言。 .............................................................................................................................. 1 基本原理 ................................................................................................................................ 1 1. 2 設(shè)計框圖 ................................................................................................................................ 1 ............................................................................................................... 3 總體設(shè)計電路 ....................................................................................................................... 3 模塊設(shè)計和相應模塊程序 ................................................................................................... 3 乒乓球游戲機實體的設(shè)計 ........................................................................................ 3 狀態(tài)機編程實現(xiàn) ........................................................................................................ 4
點擊復制文檔內(nèi)容
畢業(yè)設(shè)計相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1