freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

無線太陽能車基于單片機控制的數(shù)字函數(shù)信號發(fā)生器的設(shè)計與實現(xiàn)(存儲版)

2025-04-08 03:49上一頁面

下一頁面
  

【正文】 單片機向 CPLD寫數(shù)據(jù)時,將讀信號 , 寫信號 CPLD開始讀地址 ,在寫信號 口在下降沿時 CPLD 開始讀讀數(shù)據(jù)。 鍵盤掃描程序首先要保證鍵值能夠可靠的送入單片機,因此必須加入消抖模塊,一般采用延時來實現(xiàn),如果有鍵按下,根據(jù)硬件電路確定鍵值所在的位置,由位置確定按鍵所要實現(xiàn)的功能,單片機執(zhí)行相應的操作。然后掃描鍵盤狀態(tài),檢查到有鍵按下就運行鍵值處理程序,對按鍵值進行查表處理,然后執(zhí)行相應的子程序,完成相應的功能,輸入的信號相關(guān)信息通過運行顯示程序,在面板上顯示正確的輸入數(shù)據(jù)和提示信息。 圖 313 外測頻整形電路 本系統(tǒng)對電源的紋波要求比較高,功率要求較低。整形電路如圖 313 所示。單片機 控制繼電器 K304 選擇是方波輸出、正弦波和三角波輸出,幅度電位器 R344 用來調(diào)節(jié)輸出信號的幅度大小。圖 37( a)為 CPLD 的引腳分配圖,包含 CPLD 和單片機接口,面 板接口, DDS 接口。該信號要經(jīng)過相應的處理才能作為交流信號使用。在正確識別按鍵的基礎(chǔ)之上,如何正確進行處理以實現(xiàn)按鍵的功能是每個智能系統(tǒng)的關(guān)鍵所在。 單片機模塊設(shè)計 單片機 是信號發(fā)生器的控制中心,其 完成功能 主要包括 :面板控制、 DDS 控制、輸出電壓的 A/D 轉(zhuǎn)換等 。 開發(fā)平臺是應用廣泛的 Max+plusⅡ工具,使用 VHDL 語言和原理圖輸入相結(jié)合的編程方法,具有語言輸入的靈活性和原理圖輸入的結(jié)構(gòu)清晰、方便性。 5V 為比較器和 TTL 電路提供電源;單獨的一路+ 5V 為數(shù)字電路提供電源,+ 為單片機、 CPLD 提供電源。 DDS 芯片輸出的信號有一定直流電平,經(jīng)過調(diào)理電路使得第二章 信號發(fā)生器總體 設(shè)計 5 最終輸出的波形能滿足用戶的要求。單片機主要完成以下工作: ( 1)顯示控制 ( 2)面板控制 ( 3) DDS 控制 ( 4)輸出電壓的數(shù)據(jù)采集,電壓顯示 ( 5)與 CPLD 進行通信 ( 6)外測頻分頻比控制和計數(shù) ( 7)波形選擇控制 ( 8)輸出控制 單片機 C8051F206 支持 JTAG 接口在線調(diào)試,調(diào)試程序方便、快捷。 1. 鍵盤模塊 通過 5 5 鍵盤輸入頻率和波形,經(jīng)由 CPLD 送入單片機, 單片機將鍵盤數(shù)據(jù)轉(zhuǎn)換淮安信息職業(yè)技術(shù)學院論文 4 為相應的頻率控制字,再通過 CPLD 接口電路控制 DDS 芯片的控制字,產(chǎn)生相應的頻率和波形,即實現(xiàn)數(shù)字控制功能。 第二章 信號發(fā)生器總體 設(shè)計 3 第二章 信號發(fā)生器總體 設(shè)計 本章首先列出了信號發(fā)生器的技術(shù)指標,給出了系統(tǒng)實現(xiàn)框圖,簡單地介紹了各個模塊的功能,根據(jù)信號發(fā)生器技術(shù)指標的要求選擇合適的器件。 項目 主要研究內(nèi)容 本文選擇利用已有的 DDS 芯片,以 設(shè)計高性能的直接數(shù)字式頻率合成器作為研究的主要內(nèi)容,最終研發(fā)出一種以簡單、廉價器件構(gòu)筑,并能夠得到高精度、高純度的合成頻率信號的 DDS 數(shù)字信號發(fā)生器。 淮安信息職業(yè)技術(shù)學院論文 2 綜合上述幾種方案的優(yōu)缺點,提出了一種改進方案: MCU+ CPLD 方案,即采用單片機作控制器,通過 CPLD 完成對 DDS 模塊的控制。目前完成對 DDS 芯片的控制和設(shè)置有三種方案: 1. MCU 方案 這種方案采用單片機為核心控制模塊 ,通過單片機的 SPI 總線與 DDS 芯片進行接口通信,單片機應用系統(tǒng)可以擴展外部的 RAM 和 ROM,以存放數(shù)據(jù) 和程序。 項目研究 背景 近 10 年間,隨著微電子技術(shù)的迅速發(fā)展,直接數(shù)字頻率合成器( Direct Digital Frequency Synthesis 簡稱 DDS 或 DDFS)得到了飛速的發(fā)展,它 以有別于其它頻率合成方法的優(yōu)越性能和特點,成為現(xiàn)代頻率合成技術(shù)中的佼佼者 [3][5]。 編號 淮安信息職業(yè)技術(shù)學院 畢業(yè)論文 題 目 基于單片機控制的數(shù)字函數(shù)信號發(fā) 生器的設(shè)計與實現(xiàn) 學生姓名 李蒙娜 學 號 15093009 系 部 電子工程 學院 專 業(yè) 應用電子技術(shù) 班 級 150930 指導教師 劉步中 講 師 顧問教師 莊海軍 副教授 二〇一二年六月 摘 要 I 摘 要 本文利用 單片機控制技術(shù)、 CPLD 技術(shù)與 直接數(shù)字頻率合成器,研制和設(shè)計了 高穩(wěn)定度 、 高分辨率 的函數(shù)信號 發(fā)生器。信號發(fā)生器和示波器、頻率計等儀器一樣,是 最基本的 、 最普通 ,也是應用最廣泛的電子儀器之一,幾乎所有需要進行電參量的測量都需要用到信號發(fā)生器作為輸入信號使用。 DDS 信號發(fā)生器方案比較 DDS 的應用使信號發(fā)生器發(fā)生了革命性的變化,它的應用變得越來越廣泛,具有重大的理論和實用意義。但采用這種方案會帶來成本和設(shè)計的復雜性明顯增加。利用 CPLD 的可編程性,拓寬了信號發(fā)生器設(shè)計的應用范圍,提高設(shè)計的靈活性,為信號發(fā)生器的功能擴展提供了方便。 : 測試信號發(fā)生器的主要功能,給出具體測試波形和測試數(shù)據(jù),并對結(jié)果進行分析。下面對系統(tǒng)的各部分電路作簡要的介紹。單片機采用CYGNAL 公司的 C8051F206。 6.模擬調(diào)理電路模塊 模擬調(diào)理電路模塊包括三角波、正弦波以及方波的調(diào)理電路、波形切換、電壓幅度調(diào)節(jié)、功率放大和保護電路。 15V 為運算放大器和功放提供電源;177。 CPLD 可以實現(xiàn)與單片機的時序同步 ,靈活的控制手段、方便的功能擴展以及芯片可重新配置功能等。 淮安信息職業(yè)技術(shù)學院論文 6 第三章 信號發(fā)生器硬件電路設(shè)計 7 第三章 信號發(fā)生器硬件電路設(shè)計 根據(jù)選用芯片的數(shù)據(jù)手冊設(shè)計具體的硬件電路,信號發(fā)生器主要包括 單片機模塊設(shè)計、 DDS 模塊設(shè)計、可編程邏輯器件模塊設(shè)計、模擬信 號調(diào)理電路和電源模塊的電路設(shè)計以及印刷電路板的設(shè)計。兩者相輔相成,前者是基礎(chǔ),后者是關(guān)鍵。 圖 34 DDS外圍電路 AD9834 產(chǎn)生正弦波﹑三角波,輸出量為電流,在 R109 和 R111 上產(chǎn)生相位相反、幅度為 V 的信號,該信號中含有 的直流信號 ,波形如圖 35 和圖 36 所示。 CPLD 設(shè)計原理圖如圖 38 所示。 淮安信息職業(yè)技術(shù)學院論文 12 ( a) 電路原理圖 ( b) A點等效電路 ( c) B點等效電路 圖 38 方波和 TTL信號產(chǎn)生原理圖 2.信號通道電路 信號通道原理圖如圖 39 所示, DDS 提供兩路幅度相等、相位相反含有直流分量的信號,經(jīng)過 U311 作差分運算(為了減小運算電路的零點漂移,要保證運放的同相端和反相端對地電阻相等),同時作一定的幅度放大,輸出電壓約為 左右,和圖 39( a)中提供的方波幅度基本上相等。 圖 312 峰值檢波電路 6.外測頻整形電路 信號發(fā)生器所能外測的輸入信號電壓幅度范 圍為 100mV 到 150V 之間,經(jīng)過整形電路把輸入電壓的幅度鉗制在 +5V~ 5V之間,以便 CPLD 接收。 5V, 74HC00使用模擬+ 5V 電壓。 主監(jiān)控程序模塊設(shè)計 程序開始后,運行初始化程序,包括初始化單片機 C8051F20初始化 AD983初始化人機交互模塊等。在正確識別按鍵的基礎(chǔ)之上,如何正確進行處理以實現(xiàn)按鍵的功能是每個智能系統(tǒng)的關(guān)鍵所在。 1. MCU 和 CPLD 通信 單片機和 CPLD 之間通信采用地址和數(shù)據(jù)復用技術(shù),單片機讀數(shù)據(jù)和寫數(shù)據(jù)通過 和 進行控制。 P1=DATA。 WR 端為單片機和 CPLD 寫控制端口; RD 端為單片機和 CPLD 讀控制端口; Mcu_data[7..0]為單片機和 CPLD 的地址數(shù)據(jù)復用端口; COUNT[17..0]為分頻比輸出端口,經(jīng)過 F_FREQ 處理送入單片機處理; AND6 為 6 輸入與門,當有按鍵按下時,輸出為低電平,送入單片機中斷接口,單片機進行鍵盤掃描,查找鍵值。 標準信號 被測信號 復位 分頻器 D 觸發(fā) 器 計數(shù)器 2 計數(shù)器 1 顯示 運算 第四章 信號發(fā)生器軟件 系統(tǒng) 設(shè)計 23 圖 410 MCU與 CPLD測頻的 VHDL頂層原理圖 F_MCU 模塊的 VHDL 語言程序如下所示: library ieee。 architecture behav of f_mcu is signal address : std_logic_vector(4 downto 0)。039。 end process。 外測的頻率 f_out : out std_logic)。 else count1=0。 淮安信息職業(yè)技術(shù)學院論文 26 第五章 信號發(fā)生器參數(shù)測試 27 第五章 信號發(fā)生器參數(shù)測試 選用以下幾種儀器對信號發(fā)生器進行有關(guān)參數(shù)測試: ? 示波器 Agilent54642D 500MHz; ? 信號發(fā)生器 Agilent33250A 80 MHz; ? 數(shù)字電壓表 METRA HIT |28S 五位半 ; ? 頻譜儀 ATTEN AT5010 1000MHz; ? 晶體管毫伏表 EM2172。對于不同波形引起的誤差通過在 AD 轉(zhuǎn)換的程序中采用誤差系數(shù)進行校正,以提高測量精度。 第五章 信號發(fā)生器參數(shù)測試 29 表 53 頻率測量的結(jié)果 鍵盤輸入頻率( kHz) 1 10 100 1000 2021 顯示頻率( kHz) 1 10 100 1000 2021 測量值( kHz) 測量結(jié)果表明,鍵盤輸入頻率和顯示頻率不存在誤差問題,但是和實際測量值存在一定的誤差,主要原因有以下幾點: 1. DDS 信號產(chǎn)生的時候,相位累加器本身存在一定的誤差; 2.單片機在進行數(shù)據(jù)處理時存在精度的問題,進行浮點運算時舍去了一些有效數(shù)字; 3.用示波器測量信號頻率,測量儀器本身存在一定的誤差。由于 AD9834 外圍設(shè)計簡單,控制方便,并且輸出信號純凈,幾乎不含噪聲,適合精確頻率合成方面的應用 [9]。 本文設(shè)計的函數(shù)發(fā)生器相對于一般信號發(fā)生器具有如下特點: 1.信號干擾小,尤其在小信號 1mV 時干擾很?。? 2.保護電路完善,當輸出端有直流電壓倒灌時 ,能夠自動切斷輸出,有效地保護電路; 3.波形質(zhì)量高,正弦波、方波和三角波失真小,方波上升時間小; 4.小信號 1mV 時直流漂移小于 ; 5.面板操作的人性化設(shè)計,方便用戶使用; 6.加入直流偏置,波形不會出現(xiàn)失真; 7.模擬信號調(diào)理部分采用集成電路實現(xiàn),系統(tǒng)穩(wěn)定可靠,分布參數(shù)變化小; 8.單片機接口采用 CPLD 芯片,它們之間通信采用尋址方式處理,功能擴展方便。在以后的設(shè)計過程中,可以考慮更多種波形輸出,例如:鋸齒波、階梯波和FSK/ASK 等信號; 今后可在現(xiàn)有的信號發(fā)生器基礎(chǔ)上提高其輸出頻率,增加輸出波形的種類,提高其輸出幅度的顯示精度。 從波形上可以看出,正弦波、方波和三角波的波形比較理想,尤其在小信號時波形比較干凈,干擾很小。 表 52 外測頻測量結(jié)果表 輸入頻率 kHz 測量頻率 kHz 測量結(jié)果表明,外測頻功能已經(jīng)達到指標要求,但仍存在一定的誤差,通過分析誤差來源有以下幾種可能: 1.單片機延時不準確,導致基準信號有誤差,會造成計數(shù)結(jié)果的誤差; 2.單片機中計算外測頻率時用的是定點計算,而非浮點計算,數(shù)據(jù)會有截斷誤差; 3.外測頻率采用 6 位 LED 顯示,最高顯示精度為十萬分之一,其舍去位數(shù)會有有效
點擊復制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1