freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

畢業(yè)設計——基于pld及三層電梯及控制設計-免費閱讀

2025-02-09 23:05 上一頁面

下一頁面
  

【正文】 c_u11; cc_d=c_d33amp。 then c_d22=c_d2; elsif c_d3=39。 then d22=d2; elsif d3=39。; en_dw=39。 ;opendoor=39。 then led=0000110; if d33=39。; opendoor=39。 then d22=39。; elsif dd_cc000010 then en_dw=39。 ; c_u22=39。 then led=0010010; if updown=39。; elsif dd_cc000001 then en_up=39。 or c_u11=39。 then q1=3; elsif q1=6 then door=00; updown=39。; up=39。; elsif en_up=39。; if q2=3 then wahaha=39。 then q1=0; q2=0; wahaha=39。在設計中我必須首先熟悉和掌握 MAX PLUSⅡ的設計流程和工作原理,PLD 技術(shù)及用 VHDL 語言設計程序的能力和對于三層電梯的控制源程序要進行深入的了解。 圖 功能仿真圖 說明: ( 1) wahaha:當關(guān)門中斷信號 deng初次賦值為 1時,本信號就賦值為 1,一直 畢業(yè)設計 21 到故障清除,信號 clr 賦值為 1時才變?yōu)?0。139。 ( 2) ud:從電梯接收請求信號后到電梯運行到三樓為止,賦值為 1,表示電梯此時向上運行,其余時段都為 0,表示電梯此時向下運行或者待機。 電梯停在一樓,在同一時間段里接受到三個請求信號:一樓梯內(nèi)上升到三樓的請求( d3)、二樓的梯外上升請求( c_u2)和三樓的梯外下降請求( c_d3)。 圖 電梯在不同時段接收到請求信號 說明: ( 1) up:在電梯接收到 c_d3后,賦值為 1,表示電梯受控制處于上升過程,當電梯運行到三樓以后,賦值變?yōu)?0。在 畢業(yè)設計 18 “ File”欄對截止時間“ End Time”設置為“ 10s”,在“ Options”欄的“ Grid Size”設置為“ 20ns”。通過“新建”按鈕,選擇“ Waveform Editor file”,如圖 。 圖 文本輸入保存界面 5. 設置頂層文件 在編譯前,需要把文件設置為頂層文件 ,或稱工程文件 Project。; 電梯外人下降請求信號并置 dd_cc=dd or cc_u or cc_d; 電梯內(nèi)、外人請求信號進行綜合 畢業(yè)設計 14 end if; ud=updown; 電梯運動狀態(tài)顯示 led_d=dd; 電梯內(nèi)人請求信號顯示 led_c_u=cc_u; 電梯外人上升請求信號顯示 led_c_d=cc_d; 電梯外人下降請求信號顯示 end if; 四、程序調(diào)試 打開 MAX+plusII 軟件,其初始界面如圖 。d11; 電梯內(nèi)人請求信號并置 cc_u=39。 then c_u11=c_u1; 對電梯 外人上升請求信號進行檢測和寄存 elsif c_u2=39。; 清除超載報警 if d1=39。039。139。139。139。039。 then led=0010010; ”, led 的賦值是根據(jù)七段式數(shù)字顯示器的發(fā)光段排列的,可見發(fā)光段是 a、 b、 d、 e、 g段時,形成一個 2字。; opendoor=39。 then d22=39。; 有上升請求,則電梯進入預備上升狀態(tài) elsif dd_cc010 then en_dw=39。; c_u22=39。 then led=0010010; 電梯到達 2樓,數(shù)碼管顯示 2 if updown=39。139。在 VHDL 語言里,賦值符號一般都是“ =”符號,具體形式如下: begin if clk39。39。; q1=0; if q1=3 then door=10; else door=00; end if; ?? dd=d33amp。 then if clr=39。 ( 1)調(diào)用 VHDL庫 通過 library 語句,本程序應用了 VHDL 庫中的“通用 ieee 庫”和“標準std庫”。 根據(jù) VHDL 語言的規(guī)則,程序必須由最基本的實體和結(jié)構(gòu)體構(gòu)成。當電梯在三樓時,梯內(nèi)有到達一樓的下降請求信號,而二樓有上升請求信號:當電梯以向下的方向接近二樓的減速位置時,判別二樓具有反向的請求信號,系統(tǒng)將把二樓的上升請求保存到上升請求寄存上,不在二樓作停泊繼續(xù)下降到一樓去載客,然后讀取上升請求寄存中先前二樓的上升請求,執(zhí)行上升到二樓載客 的操作。 其分析圖如圖 。 三、程序設計 (一)算法分析 ( 1)請求信號分析 本設計把電梯的請求信號分為上升請求和下降請求,電梯接收到請求信號后,都必須進行 預操作。 VHDL 豐富的仿真語句和庫函數(shù),使得在任何大系統(tǒng)的設計早期就能查驗設計系統(tǒng)的功能可行性,隨時可對設計進行仿真模擬。它采用一種可編程的存儲器,在其內(nèi)部存儲執(zhí)行邏輯運算、順序控制、定時、計數(shù)和算術(shù)運 算等操作的指令,通過數(shù)字式或模擬式的輸入輸出來控制各種類型的機械設備或生產(chǎn)過程。它提供了一種與結(jié)構(gòu)無關(guān)的設計環(huán)境,使設計者能方便地進行設計輸入、快速處理和器件編程。 ( 二 ) VHDL語言介紹 VHDL 主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。使用 VHDL語言進行程序的設計,在 MAX+plusII 軟件上對程序進行編譯、仿真。因此,能使人們快速、便捷地到達目的樓層的電梯便應運而生了。本設計是基于可編程邏輯器件( PLD)技術(shù)中的甚高速集成電路硬件描述語言( VHDL)語言所開發(fā)的三層電梯控制程序。本程序具有 VHDL 語言設計里最為常用的三個模塊:實體entity、結(jié)構(gòu)體 architecture、進程執(zhí)行單元 process。其中,三層電梯廣泛應用在大型的貨運之中,其使用便捷,貨運周期短,效率高,成本低,對貨運事業(yè)具有相當?shù)慕?jīng)濟價值。 (一) EDA技術(shù)介紹 EDA 技術(shù)是 20 世紀 90 年代初從計算機輔助設計( CAD)、計算機輔助制造( CAM)、計算機輔助測試( CAT)和計算機輔助工程( CAE)的概念發(fā)展而來的。除了含有許多具 畢業(yè)設計 3 有硬件特征的語句外, VHDL 的語言形式和描述風格與句法是十分類似于一般的計算機高級語言。在 MAX+plusII平臺上進行數(shù)字系統(tǒng)的設計過程一般要經(jīng)過四個階段:設計輸入、項目編譯、項目校 驗和器件編程。 可編程控制器是計算機技術(shù)與自動化控制技術(shù)相結(jié)合而開發(fā)的一種適用工業(yè)環(huán)境的新型通用自動控制裝置,是作為傳統(tǒng)繼電器的替換產(chǎn)品而出現(xiàn)的。其行為描述能力和程序結(jié)構(gòu)決定了他具有支持大規(guī)模設計的分解和已有設計的再利用功能。當電梯所在樓層低于發(fā)出梯外請求的樓層或者低于梯內(nèi)請求所要到達的目的樓層時,電梯必須在下一操作中作出上升運行,這時的請求信號就是上升請求信號。 處 于 二 樓預 上 升預 下 降返 回 一 樓待 機上升信號無 信 號下降信號 圖 電梯處于二樓時的運行分析 處于三樓時,不管是梯內(nèi)梯外,電梯都只可能接收到下降的請求信號。該動作完畢后,被保存的寄存器中的數(shù)據(jù)清除,以實現(xiàn)下一次隨機邏輯控制。實體對控制器的端口進行定義,結(jié)構(gòu)體對各端口的行為進行描述。 library ieee; use ; use ; use ; ( 2) entity實體設計模塊 entity dianti is port ( clk : in std_logic; full,deng,quick,clr : in std_logic; c_u1,c_u2: in std_logic; c_d2,c_d3: in std_logic; d1,d2,d3: in std_logic; g1,g2,g3: in std_logic; door : out std_logic_vector(1 downto 0); led : out std_logic_vector(3 downto 0); led_c_u:out std_logic_vector(2 downto 0); led_c_d:out std_logic_vector(2 downto 0); led_d : out std_logic_vector(2 downto 0); wahaha : out std_logic; ud,alarm : out std_logic; up,down : out std_logic ); end dianti;; 實體語句用關(guān)鍵詞 entity開頭,本程序以 dianti(電梯的漢語拼音)作為所要描述的實體名,在結(jié)束實體語句的時候使用 end dianti。139。d22amp。039。event and clk=39。 then alarm=39。139。039。139。039。039。所以在一樓時 led賦值為“ 1001111”,三樓時 led賦值為“ 0000110”,如圖 。; 清除故障報警 elsif full=39。; 故障報警 else if opendoor=39。 then 上升預操作 畢業(yè)設計 13 if deng=39。; 關(guān)門完畢,電梯進入上升狀態(tài) elsif q1=3 then door=01; q1=q1+1; 電梯進入關(guān)門狀態(tài) else q1=q1+1; door=00; 電梯進入等待狀態(tài) end if; elsif en_dw=39。
點擊復制文檔內(nèi)容
范文總結(jié)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1