【正文】
基本功能: ( 1)按行駛里程收費(fèi),起步價(jià)為 元,并在車行 3 公里后再按 3 元 /公里計(jì)算車費(fèi)。而計(jì)價(jià)器作為出租車的一個(gè)重要組成部分,關(guān)系著出租車司機(jī)和乘客雙方利益,起著重要的作用,因而出租車計(jì)價(jià)器的發(fā)展非常迅猛。 1. 引言 隨著 EDA 技術(shù)的高速發(fā)展,電子系統(tǒng)的設(shè)計(jì)技術(shù)發(fā)生了深刻的變化,大規(guī)模可編程邏輯器件 CPLD/ FPGA 的出現(xiàn),給設(shè)計(jì)人員帶來了諸多方便。論述了計(jì)程模塊 , 計(jì)費(fèi)模塊 ,計(jì)時(shí)模塊, 譯碼動(dòng)態(tài)掃描模塊等的設(shè)計(jì)方法與技巧。 隨著社會(huì)的不斷進(jìn)步,人們生活水平的不斷提高,出租車逐漸成為人們?nèi)粘I畈豢扇鄙俚慕煌üぞ摺? ( 3)掌握用 Max+pulsII 軟件的原理圖輸入的設(shè)計(jì)方法。 ( 4)用 VHDL 語(yǔ)言設(shè)計(jì)符合上述功能要求的出租車計(jì)費(fèi)器,并用層次化設(shè)計(jì)方法設(shè)計(jì)該電路。車白天停止超過三 分鐘后按 1 元 /分鐘計(jì)算,晚上超過 3分鐘按 2元 /分鐘計(jì)算。 本人任務(wù) 本人 負(fù)責(zé)軟件部分。數(shù)碼管將計(jì)費(fèi)、等待時(shí)間和里程動(dòng)態(tài)的顯示出來。 FPGA內(nèi)部具體框圖 及 方案設(shè)計(jì) : 出租車的一般計(jì)費(fèi)過程為:出租車載客后,啟動(dòng)計(jì)費(fèi)器,整個(gè)系統(tǒng)開始運(yùn)行,里程計(jì) 數(shù)器從 0開始計(jì)數(shù),費(fèi)用計(jì)數(shù)器從 9開始計(jì)算 ; 出租車載客 中途等待,等待時(shí)間計(jì)數(shù)器從 0開始計(jì)數(shù)。 各模塊的功能: (1)由 FPGA 晶振電路產(chǎn)生 50MHz 時(shí)鐘信號(hào)并輸入。 車費(fèi) 計(jì)數(shù) 模塊 車行駛狀態(tài) 譯 碼 模 塊 輸 出 控 制 模 塊 里程 計(jì)數(shù) 模塊 控制 芯片 信號(hào)輸入 動(dòng)態(tài)顯示模塊 分 頻 器 輸入信號(hào) 該模塊還包含一個(gè)路程計(jì)費(fèi)標(biāo)志的小模塊,輸出一個(gè)路程計(jì)費(fèi)的信號(hào)。黑夜收費(fèi)標(biāo)準(zhǔn):起步費(fèi)為 元,超過 3公里按 5元 /公里,車暫停超過三分鐘按 1元 /分鐘計(jì)算。首先實(shí)現(xiàn)系統(tǒng)框圖中的各子模塊,然后由頂層模塊調(diào)用各子模塊來完成整個(gè)系統(tǒng)。 USE 。 END PULSE。EVENT AND CLK0=39。139。 END IF。 END ONE。 計(jì)程模塊的 VHDL 程序 (1) 計(jì)程程序 library ieee。 LC:BUFFER std_logic_vector(7 downto 0))。 begin SW:=SSamp。 ELSIF SW=11 THEN Q1=Q1。139。Q0=0000。 END IF。 use 。 end entity LCJFBZ。039。 END PROCESS。在出租車行進(jìn)中,如果車輛停止等待,計(jì)數(shù)器 則在信號(hào) clk的上升沿進(jìn)行加計(jì)數(shù),當(dāng)累計(jì)等待時(shí)間超過 2(不包括 2分鐘 )分鐘時(shí),輸出標(biāo)志 DDJFBZ正脈沖信號(hào)。 ENTITY DDZT IS PORT(CLK,SS:IN STD_LOGIC。 END ENTITY DDZT。 THEN Q1:=0000。 ELSIF DDBZ=39。 AND CLK39。Q0:=0000。 IF(Q10 OR Q03) THEN DDJFBZ=39。 END IF。 END ONE。 VHDL的程序 : library IEEE。 END。 VARIABLE SOUT : std_logic_vector(11 downto 0)。 SOUT:=(others=39。039。 amp。139。 CI(i+1) := SB(i)(4)。 END FUNCTION bcd_add8。 use 。 白天黑夜控制,高電平夜間,低電平白天 LC:IN std_logic_vector(7 downto 0)。 等待計(jì)費(fèi)標(biāo)志,高電平時(shí)等待開始 計(jì)費(fèi),低電平不計(jì)費(fèi) FY:OUT STD_LOGIC_VECTOR(7 DOWNTO 0))。039。 THEN 白天時(shí) IF LCJFBZ=39。)。 多一個(gè)脈沖加收 3,則變成 LC*3 END IF。039。 FY1:=bcd_add8(FY1,LC)。039。 不 加收 ELSE 加收路程費(fèi) FY1:=bcd_add8(FY1,DDSJ)。039。 FY=FY1(7 DOWNTO 0)。 : : din [7. .0] dout 1[ 6. .0]dout 0[ 6. .0]Y M Qins t6 VHDL程序 : library ieee。 entity YMQ is port(din:in std_logic_vector(7 downto 0)。 architecture one of YMQ is begin process(din) begin case din(7 downto 4) is when 0000 =dout1=1111110。 when 0100 =dout1=0110011。 when 1000 =dout1=1111111。 case din(3 downto 0) is when 0000 =dout0=1111110。 when 0100 =dout0=0110011。 when 1000 =dout0=1111111。 end process。 use 。 end sel1。139。 end if。 (2)sel2模塊 library ieee。 end sel2。 when others=s2=ZZZ。 : (1)sel1模塊 (2)sel2模塊 從波形圖可以看出 當(dāng)片選信號(hào)是 00時(shí),輸出選擇記程輸出。頂層就是將各分模塊用 VHDL語(yǔ)言或者是圖形方法連接起來,便可實(shí)現(xiàn)系統(tǒng)電路。 use 。 weix:out std_logic_vector(2 downto 0))。 end ponent。 ponent ddzt PORT(CLK,SS:IN STD_LOGIC。 end ponent。 ponent lcjfbz port(SS:in std_logic。 ponent jf PORT( SS:IN STD_LOGIC。 LCJFBZ:IN STD_LOGIC。 ponent ymq port(din:in std_logic_vector(7 downto 0)。 ponent xzsc port(jc,jf,wt:in std_logic_vector(7 downto 0)。 ponent sel1 port(clk1:in std_logic。 s2:out std_logic_vector(2 downto 0))。 signal k:std_logic_vector(1 downto 0)。 u3:bz port map(aj=wr,bz=c)。 u7:pulse port map(clk0=j,fout=m)。 u11:lcjfbz port map(ss=b,lc=z,lcjfbz=e)。 u15:sel2 port map(sel2=K,s2=weix)。 輸出: DNPB:用于判別白天還是黑夜的輸出信號(hào),接至發(fā)光二極管,白天不發(fā)光,黑夜的時(shí)候發(fā)光。最后,我們重新連接了引腳,達(dá)到了預(yù)期的效果。 ( 3) 再按 WR,計(jì)費(fèi)、記程數(shù)碼管再次發(fā)生變化。 ( 2) 再按下 WR,等待時(shí)間數(shù)碼管開始計(jì)數(shù),記程、計(jì)費(fèi)數(shù)碼管均保持不變,當(dāng)?shù)却龝r(shí)間超過 3分鐘后,計(jì)費(fèi)數(shù)碼管按超出每分鐘 1元 計(jì)算,記程數(shù)碼管仍保持不變。 本文介紹了一種全新的出租車計(jì)價(jià)器計(jì)費(fèi)系統(tǒng)的 FPGA設(shè)計(jì)方法。 [2] 杜慧敏,基于 VerilogHDL的 FPGA設(shè)計(jì)基礎(chǔ),西安電子科技大學(xué)出版社。t charge you more than 35% of your pensation if you win the case. If you are thinking about signing up for a damagesbased agreement, you should make sure you39。s Premier League match at Chelsea on Sunday. I am going to be at the match tomorrow and I have asked to meet Yaya Toure, he told BBC Sport. For me it39。s actions. CSKA said they were surprised and disappointed by Toure39??傆X得自己自己似乎應(yīng)該去做點(diǎn)什么, 或者寫點(diǎn)什么。t really grow up, it seems is not so important。 Originally, this world, can produce a chemical reaction to an event, in addition to resolutely, have to do, and time. 原來,這個(gè)世界上,對(duì)某個(gè)事件能產(chǎn)生化學(xué)反應(yīng)的,除了非做不可的堅(jiān)決,還有,時(shí)間。也曾經(jīng)好像已經(jīng)下定了決心去做某件事,但更多的時(shí)候是最后又打起 了退堂鼓。 Those were broken into various shapes of stationery。突然想到那件藍(lán)格子襯衫;那些被折成各種各樣形狀的信紙;那段從街角深巷伊始的友誼;還有那場(chǎng)還沒有開始就宣告了終結(jié)的邂逅計(jì)劃 …… 那些年那些天的非做不可,終于和青春一樣,都將在我們的人生中謝幕。t. Baumgartner still will free fall at a speed that would cause you and me to pass out, and no parachute is guaranteed to work higher than 25,000 feet (7,620 meters). cause there