freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

利用鍵盤(pán)控制點(diǎn)陣進(jìn)行十進(jìn)制加法計(jì)算顯示-全文預(yù)覽

  

【正文】 0000000。 when 1011 = ledout=0000000110100000。 when 0111 = ledout=0000110000100100。 when 0011 = ledout=0000000000100100。 end case。 when 1101 = ledout=0000110000011000。 when 1001 = ledout=0001000110000100。 when 0101 = ledout=0001101110000100。 3 when 0001 = ledout=0000000000100000。 when 1111 = ledout=0000000000000000。 when 1011 = ledout=0001111000011100。 when 0111 = ledout=0001000001001100。 when 0011 = ledout=0001111100001100。 end case。 when 1101 = ledout=0000000000000000。 when 1001 = ledout=0000100000000100。 when 0101 = ledout=0000000000000100。 1 when 0001 = ledout=0000000000000000。 when 1111 = ledout=0000000000000000。 when 1011 = ledout=0001111111111000。 when 0111 = ledout=0011000000001100。 when 0011 = ledout=0001111111111000。end leddisp。use 。1616點(diǎn)陣工作原理為:當(dāng)CHAR輸入是“00000”時(shí),要實(shí)現(xiàn)1616點(diǎn)陣顯示數(shù)字“0”, 行驅(qū)動(dòng)信號(hào)SEGOUT[15..0]根據(jù)實(shí)現(xiàn)數(shù)字圖形的要求,事先已編寫(xiě)好各列相應(yīng)的代碼,列選信號(hào)SEL [3..0]按如下形式依次循環(huán):0000—0001—0010—……—1110—1111,通過(guò)4—16譯碼器譯碼后對(duì)點(diǎn)陣的各列進(jìn)行掃描,當(dāng)某點(diǎn)列和行都為“1”時(shí),該點(diǎn)被點(diǎn)亮。 kout=colsel。 elsif(Y=11111)then q=11。) then if(Y10000)then q=00。) then colsel=00000。 end if。 if(counter2=11100) then kdown=39。) then counter2=00000。event and clk=39。) then counter2=00000。 end case。 when 1011=Y=10001。 when others=null。 end case。 when 1101=Y=01001。 when 0111=Y=11111。 end case。 when 1101=Y=11111。 when 0111=Y=11111。 end case。 when 1101=Y=00111。 when 0111=Y=11111。 counter(0)。 sel=counter。 else counter=counter+1。039。 elsif(clk139。 cp=clk1。 clk1=39。139。039。 signal a : std_logic_vector(3 downto 0)。 signal counter1 : std_logic_vector(1 downto 0)。 sel : out std_logic_vector (3 downto 0)。entity keyboard is port ( clk ,rst : in std_logic。鍵盤(pán)控制模塊如圖3所示。掃描信號(hào)為SEL[2..0]進(jìn)入38譯碼器,再?gòu)淖g碼器輸出到鍵盤(pán),所以第一次只能掃描一排,依此周而復(fù)始。數(shù)字鍵主要用來(lái)輸入數(shù)字,但從上述內(nèi)容發(fā)現(xiàn),鍵盤(pán)所產(chǎn)生的輸出KIN3~KIN0無(wú)法拿來(lái)直接使用;另外不同的數(shù)字按鍵也擔(dān)負(fù)不同的功能,因此必須由鍵盤(pán)譯碼電路來(lái)規(guī)劃某個(gè)按鍵的輸出形式,以便執(zhí)行相應(yīng)的動(dòng)作。其方法是:依次將行線(xiàn)置為低電平,即在置某根行線(xiàn)為低電平時(shí),其它線(xiàn)為高電平。矩陣式鍵盤(pán)的按鍵識(shí)別方法為行掃描法又稱(chēng)為逐行掃描查詢(xún)法,是一種最常用的按鍵識(shí)別方法,介紹過(guò)程如下:1)判斷鍵盤(pán)中有無(wú)鍵按下:將全部行線(xiàn)置低電平,然后檢測(cè)列線(xiàn)的狀態(tài)。1616點(diǎn)陣顯示驅(qū)動(dòng)的行選通信號(hào)為一416譯碼器的輸出,所以我們?cè)谠O(shè)計(jì)點(diǎn)陣控制接口時(shí),其行選通信號(hào)輸出必須經(jīng)416編碼。1616的LED點(diǎn)陣顯示器,是由256個(gè)LED組成,共陽(yáng)極的1616的LED點(diǎn)陣顯示器的典型連接方式是:每一行的16個(gè)陽(yáng)極連在一起,由行掃描碼鎖存器和驅(qū)動(dòng)器的一位控制,總共16行陽(yáng)極連線(xiàn)由16位分別控制;每一列的16個(gè)陰極連在一起,由列掃描碼鎖存器和驅(qū)動(dòng)器的一位控制,總共16列陰極連線(xiàn)由16位分別控制。系統(tǒng)的輸出信號(hào)有:點(diǎn)陣行驅(qū)動(dòng)輸出信號(hào)(LEDOUT[0..15]),點(diǎn)陣列選信號(hào)(SEL[3..0]),進(jìn)位輸出信號(hào)CO。、設(shè)計(jì)要求:(1)學(xué)習(xí)掌握鍵盤(pán)控制模塊、點(diǎn)陣顯示模塊的工作原理及應(yīng)用;(2)熟練掌握VHDL編程語(yǔ)言,編寫(xiě)鍵盤(pán)控制模塊的控制邏輯;(3)仿真所編寫(xiě)的程序,模擬驗(yàn)證所編寫(xiě)的模塊功能;(4)下載程序到芯片中,硬件驗(yàn)證所設(shè)置的功能,能夠?qū)崿F(xiàn)十進(jìn)制數(shù)字的顯示;(5)整理設(shè)計(jì)內(nèi)容,編寫(xiě)設(shè)計(jì)說(shuō)明書(shū)。利用鍵盤(pán)控制點(diǎn)陣進(jìn)行十進(jìn)制加法計(jì)算顯示課程設(shè)計(jì)目的: (1)學(xué)習(xí)操作數(shù)字電路設(shè)計(jì)實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng),掌握點(diǎn)陣顯示模塊的工作原理及應(yīng)用。課程設(shè)計(jì)內(nèi)容和要求:、設(shè)計(jì)內(nèi)容: 用VHDL語(yǔ)言編寫(xiě)程序,編寫(xiě)鍵盤(pán)控制模塊的控制邏輯,仿真所編寫(xiě)的程序,模擬驗(yàn)證所編寫(xiě)的模塊功能,下載程序到芯片中,實(shí)現(xiàn)鍵盤(pán)控制點(diǎn)陣進(jìn)行十進(jìn)制加法計(jì)算顯示。、工作原理及框圖: 系統(tǒng)的輸入信號(hào)有:開(kāi)關(guān)(允許輸入及顯示)信號(hào)RST,系統(tǒng)時(shí)鐘信號(hào)CLK,按鍵信號(hào)(KEYIN[3..0])。如果將多塊組合可以構(gòu)成大屏幕顯示屏用于漢字、圖形、圖表等等的顯示,因此被泛用于機(jī)場(chǎng)、車(chē)站、碼頭、銀行及許多公共場(chǎng)所的指示、說(shuō)明、廣告等場(chǎng)合。然后,按相同的方式選通第二行,寫(xiě)第二行的字型碼……由此類(lèi)推,直到寫(xiě)完所有行的字型碼,完成一個(gè)字符的顯示。通過(guò)鍵盤(pán)掃描程序的行輸出與列輸入就可確認(rèn)按鍵的狀態(tài),再通過(guò)鍵盤(pán)處理程序便可識(shí)別鍵值。 2)判斷閉合鍵所在的位置:在確認(rèn)有鍵按下后,即可進(jìn)入確定具體閉合鍵的過(guò)程。 鍵盤(pán)中的按鍵可分為數(shù)字鍵和功能鍵。鍵盤(pán)上的每個(gè)按鍵就是一個(gè)開(kāi)關(guān),當(dāng)某鍵被按下時(shí),該按鍵的接點(diǎn)會(huì)呈現(xiàn)‘0’狀態(tài),反之為‘1’。KOUT[4..0]為鍵盤(pán)掃描后的對(duì)應(yīng)的譯碼輸出;CP為時(shí)鐘CLK的四分頻后的輸出;Q[1..0]對(duì)按下的鍵值進(jìn)行標(biāo)志:“00”表示按下‘0’——‘9’之間的鍵值、“01”表示按下NEXT按鍵、“10”表示按下ENTER按鍵、“11”表示按下其它按鍵。use 。 q : out std_logic_vector (1 downto 0)。 architecture keyboard_arc of keyboard is signal counter : std_logic_vector(3 downto 0)。 signal clk1,ktest,kdown: std_logic。p1: process(rst,clk) begin if(rst=39。event and clk=39。 end process p1。139。) then counter=0000。) then if(ktest=39。) then counter=counter。 end process p2。 counter(1) amp。 when 1011=Y=11111。 when 001= case a is when 1110=Y=00001。 when others=null。 when 1011=Y=11111。 when 011= case a is when 1110=Y=00011。 when others=nu
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1