freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于saa7113的圖像灰度信息采集系統(tǒng)_通信工程畢業(yè)論文-全文預(yù)覽

2025-09-26 17:58 上一頁面

下一頁面
  

【正文】 [10] 傘景輝,孫廣富。北京:國防工業(yè)出版社, 2020。 ISE 應(yīng)用與開發(fā)技巧。 [6] 孫航。 [2] VHDL and Design of digital Circuits [3] The I2Cbus speeifieation . [4] 劉直芳,王運瓊,朱敏。通過查資料和搜集有關(guān)的文獻,培養(yǎng)了我的自學(xué)能力和動手能力,并且由原先的被動地接受知識轉(zhuǎn)換為主動地尋求知識,這可以說是學(xué)習(xí)方法上有了很大的突破。在我做畢業(yè)設(shè)計的三個月里,田老師指導(dǎo)我完成了外文文獻的翻譯、開題報告、中期報告的撰寫等等,每次他都認(rèn)真地給我們修改,指出其中的不當(dāng)之處。 (1) 增加具體的算法處理內(nèi)容; (2) 提高整套系統(tǒng)的可靠性; 河北大學(xué) 2020屆本科 畢業(yè)生論文 (設(shè)計 ) 24 謝 辭 時光飛逝,四年多的努力與付出, 本設(shè)計 的完成 既為大學(xué)四年劃上了一個完美的句號,也為將來的人生之路做了一個很好的鋪墊。 I2C 總線等多方面的知識。 圖 414 圖像的開始部分 同理,我們從圖像的結(jié)尾部分可以讀出 VPO 的數(shù) 據(jù)是“ FF 00 00 9D”,即 EAV的值為“ 9D”,由表 42可以看出它是第一場有效數(shù)據(jù)的結(jié)束標(biāo)志。 在 SAV中為‘ 0’ 在 EAV中為‘ 1’ 保留 從表 42 可以看出在完整的一幀圖像數(shù)據(jù)中第一場的場消隱階段 SAV 為“ 1010XXXX”,第一場有效數(shù)據(jù)階段 SAV 為“ 1000XXXX”。開始采集視頻時, SAA7113 輸出視頻圖像通過 8 位總線 VPO 傳輸給 FPGA。 圖 412 41H改寫后的值 ◆ 圖像的采集 圖像采集部分是本設(shè)計的最后部分,在做好初始化等工作后就可以直接采集圖像。從 iic_read_ctg 引腳可以看出 ACK 全部為低電平,這說明I2C 總線與 SAA7113之間的通信正常,采集的數(shù)據(jù)為 41H(如圖 411 所示 )單元的數(shù)河北大學(xué) 2020屆本科 畢業(yè)生論文 (設(shè)計 ) 20 據(jù)。 圖 47 生成的 ROM元件 圖 48 I2C模塊總線連接圖 ◆ 初始化數(shù)據(jù)存放的驗證 當(dāng)初始化完成后,我們必須驗證它的正確性。程序的最后還有一部分同步電路,作用是將信內(nèi)部信號在 clk的上升沿送到外部信號引腳上。當(dāng)計數(shù)器為 0 時,通知系統(tǒng)發(fā)送 ack 信號。如果有,再判斷主機是否有響應(yīng),當(dāng)主機沒有響應(yīng)時,把 go語句賦值為 1。如果在時鐘上升沿檢測到ld=1,那么就將數(shù)據(jù) din 送到移位寄存器 sr;否則如果 shift=1,則 sr 向左移一位,低位由 core_rxd 補充。 i2c signals SCL : inout std_logic。 Din : in std_logic_vector(7 downto 0)。 ena : in std_logic。 (2)SCL 和 SDA:因為 I2C協(xié)議規(guī)定傳送數(shù)據(jù)都由兩根線進行,因此 SDA應(yīng)該為雙向數(shù)據(jù)線。 SCL : inout std_logic。 cmd_ack : out std_logic。 IDLEWr_cWr_aWr_bWr_dRd_dRd_cRd_bRd_dStop_cStop_bStop_aSt_dSt_cSt_bSt_a 圖 44 I2C總線時需狀態(tài)轉(zhuǎn)移圖 河北大學(xué) 2020屆本科 畢業(yè)生論文 (設(shè)計 ) 16 該模塊的實體部分: entity i2c_core is port ( clk : in std_logic。其中, i2c 是整個設(shè)計的外形;i2c_core 是 I2C的核,它的功能是用來實現(xiàn)將數(shù)據(jù)按照 I2C協(xié)議的格式進行轉(zhuǎn)換。 ◆ I2C 總線控制器的設(shè)計 由 I2C總線規(guī)范中完成一次完整的數(shù)據(jù)傳輸圖 43可知,可以將整個過程 分為 5 個狀態(tài): (1)起始, (2)寫數(shù) (‘ 0’或者‘ 1’ ), (3)應(yīng)答, (4)暫停, (5)結(jié)束。 說明: S:起始位,條件是 SCL 高電平是 SDA 有下降沿; Sr:重復(fù)設(shè)一個起始位 Slave address W: SAA7113 芯片地址 +寫標(biāo)志, 0100 1010=4AH,若 RTS0 通過 電阻接地,則為 48H; Slave address R: SAA7113 芯片地址 +寫標(biāo)志, 0100 1011=4BH,若 RTS0 通過 電阻接地,則為 49H; ACKS: SAA7113 產(chǎn)生的回應(yīng)信號; ACKm:主機產(chǎn)生的回應(yīng)信號; Subaddress:寄存器地址; P:停止位,條件是 SCL 高電平時 SDA 有上升沿; 整個 SAA7113 配置流程圖如圖 42所示 河北大學(xué) 2020屆本科 畢業(yè)生論文 (設(shè)計 ) 14 初始狀態(tài)程序初始化是否完成寫器件地址及讀寫信號是否應(yīng)答寫配置數(shù)據(jù)是否應(yīng)答是否完成否否否錯誤狀態(tài) 圖 42 SAA7113配置流程 SAA7113 初始化及數(shù)據(jù)采集模塊 SAA7113 芯片廣泛的應(yīng)用在計算機視頻采集、多媒體、數(shù)字電視、圖像處理、可視電話等領(lǐng)域。 03H 04H 05H 模擬輸入控制 2 模擬輸入控制 3 模擬輸入控制 4 33H 00H 00H 33H 00H 00H 自動增益通過模式 03 控制,并且啟用,增白控制關(guān), 長垂直空白,正常相位。 FPGA 通過 I2C 總線和 SAA7113 相連接,可以輕松的對其進行配置,以獲取需要的數(shù)據(jù)信息。 因此本章主要內(nèi)容為可分為 利用 I2C總線對視頻輸入處理芯片 SAA7113的初始化配置,采用軟件的方式控制 SAA7113 采集圖像數(shù)據(jù),最終將采集的圖像數(shù)據(jù)存儲三個方面。在響應(yīng)時鐘脈沖周期期間,如果從器件不能及時響應(yīng),則從器件始終保持高電平。 每次發(fā)送到 I2C總線 SDA 上的數(shù)據(jù)必須是一個字節(jié),傳輸?shù)臄?shù)據(jù)字節(jié)暗按照由高位到低位的順序發(fā)送,每發(fā)送一個字節(jié)必須跟一個響應(yīng)位。 圖 33 I2C工作時序 當(dāng) SCL 為高點平時, SDA 發(fā)生由高到低跳變定義為起始條件;當(dāng) SCL 為高電平時, SDA 發(fā)生地禱告跳變定義為停止條件。 ◆ 當(dāng) SCL 為高電平, SDA 電平由低變高時,數(shù)據(jù)傳送結(jié)束。 ◆ 空閑時,總線處于不忙狀態(tài)。 (3) 連接到總線上每個器件都有唯一的地址,通過 地址,主機可對從機進行尋址??赡苡袔讉€微控制器同時企圖控制總線成為主器件,這就產(chǎn)生了總線競爭的協(xié)議,競爭成功的器件成為主器件,其它則退出??偩€中的器件既可以作為主器件,也可以作為從器件,既可以是發(fā)送器,也可以是接收器。 I2C 總線的工作原理 I2C 總線具有如下特征。 I2C 總線上數(shù)據(jù)的傳輸速率在標(biāo)準(zhǔn)模式下可達 100kbit/s,在快速模式下可達 400kbit/s,在高速模式下可達 ??紤]到成本、集成度等各種原因。 圖 32 SAA7113內(nèi)部結(jié)構(gòu)圖 SAA7113 工作流程 SAA7113 在上電后,必須有前端處理器通過 I2C 串行總線對其內(nèi)部寄存器進行初始化配置,才能進行正常工作。 AOUT 為用于測試模擬通道的測試輸出通道, VP00~ VP07 為解碼輸出通道 ,這些通道的選擇幾個是配置都是通過 I2C來完成的。其中每行有效數(shù)據(jù)為 720個點,即 href維持 720個點。 另有外部復(fù)位管腳( CE),低電平復(fù)位,復(fù)位以后輸出總線變?yōu)槿龖B(tài),待復(fù)位信號變高后自動恢復(fù),時鐘丟失、電源電壓降低都會引起芯片的自動復(fù)位。 SAA7113 內(nèi)部具有一系列寄存器,可以配置為不同 的參數(shù),對色度、亮度等的控制都是通過對相應(yīng)寄存器改寫不同的值,寄存器的讀寫需要通過 I2C總線進行。該芯片采用 CMOS 工藝,通過 I2C 總線配置芯片內(nèi)部寄存器。 SAA7113 的主要作用是把輸入的模擬視頻信號解碼成標(biāo)準(zhǔn)的“ VPO”數(shù)字信號,相當(dāng)于一種“ A/D”器件。 在完成設(shè)計并編譯工程后,建立 SignalTap II (.stp)文 件并加入工程、配置STP 文件、編譯并下載設(shè)計到 FPGA、在 Quartus II 軟件中顯示被測信號的波形、在測試完畢后將該邏輯分析儀從項目中刪除,配置 SignalTap II 文件主要有設(shè)計人員在完成設(shè)計并編譯工程后,建立 SignalTap II (.stp)文件并加入工程、配置STP 文件、編譯并下載設(shè)計到 FPGA、在 Quartus II 軟件中顯示被測信號的波形、在測試完畢后將該邏輯分析儀從項目中刪除。利用此軟件能夠讀出寄存器的值,從 而很好的觀察試驗情況。 Maxplus II 是美國 Altera 公司自行設(shè)計的一種 CAE 軟件工具,它使用起來方便快捷,入門快,便于操作和上手,是新學(xué)者的首選。通過下載驗證后,可認(rèn)為基于 FPGA 的系統(tǒng)設(shè)計任務(wù)基本完成。 (3)綜合設(shè)計 綜合 就是針對給定的電路實現(xiàn)功能和實現(xiàn)此電路的約束條件,如速度、功耗及電路類型等,通過計算機進行優(yōu)化,獲得一個滿足上述要求的電路設(shè)計方案,綜合過程是把設(shè)計實現(xiàn)到芯片中的過程,把設(shè)計分割、映射、布局到器件的各個功能。 (2)功能 和時序 仿真 功能 仿真 是 用戶所設(shè)計的電路在綜合之前首先進行邏輯功能的驗 證, 只是初步 驗證 系統(tǒng)的邏輯功能。 FPGA 的開發(fā)流程 (1)設(shè)計輸入 設(shè)計輸入是 CPLD/FPGA 開發(fā)階段的第一步,他完成了器件的硬件描述。 第三章研究了視頻編碼芯片 SAA7113,和初始化時用到的 I2C 協(xié)議做了詳細的介紹,為后面 FPGA 初始化 SAA7113 做準(zhǔn)備。 (2)通過分析系統(tǒng),完成 FPGA 控制邏輯的設(shè) 計和編寫。在軍事方面主要用于導(dǎo)彈的精確制導(dǎo)、具有圖像、傳輸、存儲和顯示的軍事自動化、指揮系統(tǒng)等;在公安業(yè)務(wù)方 面實時監(jiān)控、案件偵破、指紋識別、人臉識別、虹膜識別以及交通流量監(jiān)控、銀行防盜等。 河北大學(xué) 2020屆本科 畢業(yè)生論文 (設(shè)計 ) 2 (2)在生物醫(yī)學(xué)領(lǐng)域的應(yīng)用。 圖像采集系統(tǒng)的應(yīng)用 圖像信息是人類獲取的最重要的信息之一,圖像采集在數(shù)字圖像處理、圖像識別等領(lǐng)域應(yīng)用十分廣泛。很多國家,特別是發(fā)達國家投入更多的人力、物力研究計算機視覺(圖像理解)領(lǐng)域,取得了不少重要的研究成果。 CT 的基本方法是根據(jù)人的頭部截面的投影,經(jīng)計算機處理來重建截面圖像,稱為圖像重建。在 以后的宇航空間技術(shù)中,如對火星、土星等星球的探測研究中,數(shù)字圖像處理技術(shù)都發(fā)揮了巨大的作用。 通過實際的運行,證明了該設(shè)計實現(xiàn)簡單,運行良好,基本可以滿足圖像采集的要求。 SAA7113 則 作為視頻轉(zhuǎn)換芯片,它把采集到的模擬轉(zhuǎn)換成數(shù)字信號提供給后級處理芯片 ,是此系統(tǒng)必不可少的一部分。 本科生畢業(yè)論文(設(shè)計) 題目 : 基于 SAA7113 的 圖像灰度信息采集系統(tǒng) 學(xué) 院 電子信息工程學(xué)院 學(xué)科門類 工學(xué) 專 業(yè) 通信工程 學(xué) 號 2020444175 姓 名 鄧學(xué)群 指導(dǎo)教師 田曉燕 2020年 05月 15日 裝 訂 線 河北大學(xué) 2020屆本科 畢業(yè)生論文
點擊復(fù)制文檔內(nèi)容
試題試卷相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1