freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于matlab16階fir低通濾波器的設(shè)計(jì)(文件)

2024-08-28 11:55 上一頁面

下一頁面
 

【正文】 7237226226821319719791811631621014412512511106878712705353133926261416881531116255171221201832464519617877............仿真2:再設(shè)計(jì)一個輸入信號,以1MHz的采樣頻率對其采樣,每個周期得到20個采樣點(diǎn),經(jīng)過A/D采樣量化之后的序列為:22 22 22 22 22 22 22 22 22 22 204 204 204 204 204 204 204 204 204 204。誤差主要來源是:系數(shù)量化的量化誤差;計(jì)算結(jié)果的取舍誤差等。往往位數(shù)越長,數(shù)據(jù)的精度越高。數(shù)字信號可看作模擬信號的一種逼近,因而會產(chǎn)生偏差,我們把這種偏差稱為量化偏差,用符號表示。這樣,便會產(chǎn)生由系數(shù)量化而引起的誤差,這種誤差會引起頻率響應(yīng)的變動,進(jìn)而不能滿足實(shí)際需要。而后在移位相加過程中,將輸出結(jié)果擴(kuò)大2倍,由于數(shù)據(jù)精度發(fā)生變化,而產(chǎn)生了誤差[13]。use 。輸入信號50MHz buma : out std_logic_vector(7 downto 0)補(bǔ)碼輸出 )。中間信號beginb=X_in(7)。 then if b=39。(not X_in(5))amp。(not X_in(1))amp。 end if 。end arc。濾波器輸入 clk : in std_logic。architecture one of delay isbeginprocess(clk)beginif clk39。use 。輸入寄存器a8,a9,a10,a11,a12,a13,a14,a15: in std_logic_vector(7 downto 0)。architecture arc of Address issignal b0,b1,b2,b3,b4,b5,b6,b7:std_logic_vector(8 downto 0)。b1=(a1(0)39。b2=(a2(0)amp。a3)+(a11(0)amp。a12)。b6=(a6(0)amp。a7)+(a15(0)amp。139。b4(0)amp。b0(0)。b4(1)amp。b0(1)。b4(2)amp。b0(2)。b4(3)amp。b0(3)。b4(4)amp。b0(4)。b4(5)amp。b0(5)。b4(6)amp。b0(6)。b4(7)amp。b0(7)。b4(8)amp。b0(8)。 低4位LTU程序library ieee。package body FIR_ROM1 isfunction LUT1(y: in std_logic_vector(3 downto 0))return std_logic_vector is variable m: std_logic_vector(11 downto 0)。when 0011=m:=xf61。when 0111=m:=xf3e。when 1011=m:=xfb1。when 1111=m:=xf12。end LUT1。use 。use 。 clk: in std_logic。 signalm00,m11,m22,m33,m44,m55,m66,m77,m88:std_logic_vector(11 downto 0)。m11=LUT1(ADD1(3 downto 0))。m33=LUT1(ADD3(3 downto 0))。m55=LUT1(ADD5(3 downto 0))。m77=LUT1(ADD7(3 downto 0))。event and clk=39。m00)。 f2=(m2(11)amp。m3)+(m33(11)amp。m44)。 f6=(m6(11)amp。m7)+(m77(11)amp。m88)。低四位補(bǔ)碼library ieee。package body FIR_ROM2 isfunction LUT1BU(y:in std_logic_vector(3 downto 0)) return std_logic_vector isvariable m: std_logic_vector(11 downto 0)。when 0011=m:=xffe。when 0111=m:=x01e。when 1011=m:=xfc2。when 1111=m:=xfe2。end LUT1BU。package FIR_ROM3 isfunction LUT2(y: in std_logic_vector(3 downto 0)) return std_logic_vector。when 0001=m:=x023。when 0101=m:=x0a4。when 1001=m:=x437。when 1101=m:=x404。end case。高四位補(bǔ)碼library ieee。package body FIR_ROM4 isfunction LUT2BU(y: in std_logic_vector(3 downto 0)) return std_logic_vector isvariable m: std_logic_vector(11 downto 0)。when 0011=m:=xe6c。when 0111=m:=x031。when 1011=m:=xc9e。when 1111=m:=xc1d。end LUT2BU。use 。輸入時鐘 10MHz y: out std_logic_vector(23 downto 0)數(shù)據(jù)輸出)。中間結(jié)果寄存器signal q: std_logic_vector(22 downto 0)。139。039。 n1=(m4(12)amp。)+(m3(12)amp。m6amp。m5(12)amp。39。m7)。n0(14)amp。n3amp。n2)。p0(17)amp。p0)。+(m0(12)amp。m0(12)amp。m0(12)amp。end if。end arc。在此向張秀清致以崇高的敬意和誠摯的感謝!在研究工作中,得到了很多人的鼎力幫助。參考文獻(xiàn)1 林懷蔚,費(fèi)旻,:中國科技大學(xué)出版社,2007:342 趙雅興,F(xiàn)PGA原理、:天津大學(xué)出版社,1999:67 3 梁二虎,劉文怡。QA9wkxFyeQ^!djsXuyUP2kNXpRWXmAamp。gTXRm6X4NGpP$vSTTamp。gTXRm6X4NGpP$vSTTamp。MuWFA5uxY7JnD6YWRrWwc^vR9CpbK!zn%Mz849Gx^Gjqv^$UE9wEwZQcUE%amp。849Gx^Gjqv^$UE9wEwZQcUE%amp。 Reliability,2004,4(44):68369514 ShiannShiun JENG, ShuMing CHANG, BorShuh Implementation of FIR Filter Using 2Bit Parallel Distributed Arithmetic[J].IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences,2004,5(E87A):1280128215 曾繁泰,陳美金,:清華大學(xué)出版社,2000:3536 16 王金明,,電視技術(shù),2003:232417 侯伯亨,:電子科技大學(xué)出版社,1999:121318 FIR Compiler User Guide Altera Corporation December 2006 :2719 Uwe MeyerBaese著,:清華大學(xué)出版社,200720 凌朝東,劉蓉,(自然科學(xué)版),2001,(01)內(nèi)部資料,請勿外傳!9JWKffwvGtYM*Jgamp。在此表示深深的感謝!同時向所有曾給予我關(guān)心和幫助的老師和同學(xué)致以最衷心的感謝。課題研究方向、論文的撰寫都凝聚著老師的心血。y=q1(20 downto 13)。m0(12)amp。m0(12)amp。m0(12)amp。39。p0(17)amp。p1amp。n2(14)amp。n0)。n1amp。)+(m7(12)amp。 n3=(m8(12)amp。039。m3)。39。m1(12)amp。m2amp。中間結(jié)果寄存器begin process(clk)累加進(jìn)程beginif clk39。architecture arc of ADD issignal n0,n1,n2,n3: std_logic_vector(14 downto 0)。entity ADD is port(m0,m1,m2,m3,m4,m5,m6,m7,m8: in std_logic_vector(12 downto 0)。四、樹狀移位寄存器VHDL代碼:library ieee。end case。when 1101=m:=xbfc。when 1001=m:=xbc9。when 0101=m:=xe10。when 0001=m:=xf6f。package FIR_ROM4 isfunction LUT2BU(y: in std_logic_vector(3 downto 0)) return std_logic_vector。end LUT2。when 1111=m:=x3e3。when 1011=m:=x362。when 0111=m:=xfcf。when 0011=m:=xf4e。package body FIR_ROM3 isfunction LUT2(y: in std_logic_vector(3 downto 0)) return std_logic_vector isvariable m: std_logic_vector(11 downto 0)。高四位library ieee。end case。when 1101=m:=xfdb。when 1001=m:=xfbb。when 0101=m:=x017。when 0001=m:=xff7。package FIR_ROM2 is程序包function LUT1BU(y:in std_logic_vector(3 downto 0))函數(shù)體 return std_logic_vector。end process。 f8=(m8(11)amp。m66)。m5)+(m55(11)amp。 f4=(m4(11)amp。m22)。m1)+(m11(11)amp。then f0=(m0(11)amp。m88=LUT1BU(ADD8(3 downto 0))。m66=LUT1(ADD6(3 downto 0))。m44=LUT1(ADD4(3 downto 0))。m22=LUT1(ADD2(3 downto 0))。m00=LUT1(ADD0(3 downto 0))。end firrom。entity firrom isport( ADD0,ADD1,ADD2,ADD3,ADD4: in std_logic_vector(7 downto 0)。use 。高四位和低四位LUT輸出數(shù)據(jù)要拼在一起,VHDL代碼如下:library ieee。end case。when 1101=m:=xf5f。when 1001=m:=xf82。when 0101=m:=xf8b。when 0001=m:=xfae。package FIR_ROM1 isfunction LUT1(y: in std_logic_vector(3 downto 0)) return std_logic_vector。end process。b2(8)amp。b6(8)amp。b2(7)amp。b6(7)amp。b2(6)amp。b6(6)amp。b2(5)amp。b6(5)amp。b2(4)amp。b6(4)amp。b2(3)amp。b6(3)amp。b2(2)amp。b6(2)amp。b2(1)amp。b6(1)amp。b2(0)amp。b6(0)amp。process(clk)beginif clk 39。a14)。a5)+(a13(0)amp。b4=(a4(0)amp。a10)。a1)+(a9(0)amp。a0)+(a8(0)amp。輸入時鐘y0,y1,y2,y3,y4,y5,y6,y7,y8:out std_logic_vector(7 downto 0))。use 。139。 寄存器 a8,a9,a10,a11,a12,a13,a14,a15:buffer std_logic_vector(7 downto 0)寄存器)。use 。end process。139。 (not X_in(3))amp。 then temp=(X_in(7)amp。event and clk=39。architecture arc of signed_buma issignal
點(diǎn)擊復(fù)制文檔內(nèi)容
化學(xué)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1