【正文】
,電機(jī)所轉(zhuǎn)動(dòng)的角度。、176。/176。/176。它是步進(jìn)馬達(dá)最重要的參數(shù)之一,通常步進(jìn)馬達(dá)在低速時(shí)的力矩接近保持轉(zhuǎn)矩。DETENTTORQUE在國(guó)內(nèi)沒有統(tǒng)一的翻譯方式,容易使大家產(chǎn)生誤解;由于反應(yīng)式步進(jìn)馬達(dá)的轉(zhuǎn)子不是永磁材料,所以它沒有DETENTTORQUE?! ?.步進(jìn)馬達(dá)的力矩會(huì)隨轉(zhuǎn)速的升高而下降?! 〔竭M(jìn)馬達(dá)有一個(gè)技術(shù)參數(shù):空載啟動(dòng)頻率,即步進(jìn)馬達(dá)在空載情況下能夠正常啟動(dòng)的脈沖頻率,如果脈沖頻率高于該值,電機(jī)不能正常啟動(dòng),可能發(fā)生丟步或堵轉(zhuǎn)。因?yàn)檫@些特點(diǎn),步進(jìn)馬達(dá)在數(shù)字化制造時(shí)代發(fā)揮著重大的用途。5)分類(一)反應(yīng)式步進(jìn)電機(jī)(VAriABle ReluCtAnCe,簡(jiǎn)稱 VR)反應(yīng)式步進(jìn)電機(jī)的轉(zhuǎn)子是由軟磁材料制成的,轉(zhuǎn)子中沒有繞組。轉(zhuǎn)子的極數(shù)和定子的極數(shù)相同,所以一般步進(jìn)角比較大,它輸出轉(zhuǎn)矩大,動(dòng)態(tài)性能好,消耗功率?。ㄏ啾确磻?yīng)式),但啟動(dòng)運(yùn)行頻率較低,還需要正負(fù)脈沖供電。這種電動(dòng)機(jī)最初是作為一種低速驅(qū)動(dòng)用的交流同步機(jī)設(shè)計(jì)的,后來發(fā)現(xiàn)如果各相繞組通以脈沖電流,這種電動(dòng)機(jī)也能做步進(jìn)增量運(yùn)動(dòng)。 步距角的選擇 電機(jī)的步距角取決于負(fù)載精度的要求,將負(fù)載的最小分辨率(當(dāng)量)換算到電機(jī)軸上,每個(gè)當(dāng)量電機(jī)應(yīng)走多少角度(包括減速)。靜力矩選擇的依據(jù)是電機(jī)工作的負(fù)載,而負(fù)載可分為慣性負(fù)載和摩擦負(fù)載二種。M Ω=2π只要對(duì)步進(jìn)電機(jī)的各相繞組按合適的時(shí)序通電,就能使步進(jìn)電機(jī)步進(jìn)轉(zhuǎn)動(dòng)。而0、3號(hào)齒和A、B相繞組產(chǎn)生錯(cuò)齒,5號(hào)齒就和A、D相繞組磁極產(chǎn)生錯(cuò)齒。八拍工作方式的步距角是單四拍與雙四拍的一半,因此,八拍工作方式既可以保持較高的轉(zhuǎn)動(dòng)力矩又可以提高控制精度。您可以通過控制脈沖個(gè)來控制角位移量,從而達(dá)到準(zhǔn)確定位的目的;同時(shí)您可以通過控制脈沖頻率來控制電機(jī)轉(zhuǎn)動(dòng)的速度和加速度,從而達(dá)到調(diào)速的目的。當(dāng)通電狀態(tài)的改變完成一個(gè)循環(huán)時(shí),轉(zhuǎn)子轉(zhuǎn)過一個(gè)齒距。) 驅(qū)動(dòng)芯片ULN2003簡(jiǎn)介 ULN2003 是高耐壓、大電流復(fù)合晶體管陣列,由七個(gè)硅NPN 復(fù)合晶體管組成。圖43 ULN2003實(shí)物圖 步進(jìn)電機(jī)的驅(qū)動(dòng)電路及原理圖44步進(jìn)電機(jī)的驅(qū)動(dòng)電路及原理CPLD采用Altera公司MAX7000系列的EPM7128SLC8415。ULN2003A是一片集成了7 個(gè)達(dá)林頓管的芯片。頻率源為MAXII 芯片上的48MHZ這些頻率通過軟件分頻,21分頻器選擇輸入至CPLD 的I/O 口就能進(jìn)行電機(jī)轉(zhuǎn)速的控制了。方框 4 光耦隔離驅(qū)動(dòng)電路主要完成步進(jìn)電機(jī)的驅(qū)動(dòng),由于步進(jìn)電機(jī)的繞組電流較大,為防止電機(jī)的頻繁啟停等對(duì) ASIC 芯片的影響,在輸出端加上光電耦合器和達(dá)林頓驅(qū)動(dòng)電路。下圖53是在CPLD上總程序設(shè)計(jì)圖:圖53 CPLD上總程序設(shè)計(jì)圖 軟件設(shè)計(jì)模塊 根據(jù)圖53 的規(guī)劃,本控制器設(shè)計(jì)的軟件就是對(duì) ASIC 內(nèi)的功能來進(jìn)行設(shè)計(jì),使 用 VHDL語言來實(shí)現(xiàn)框內(nèi)四大模塊功能。本設(shè)計(jì)的步進(jìn)電機(jī)控制器方法簡(jiǎn)單,支持多相步進(jìn)電機(jī)的三種勵(lì)磁方式,具有啟動(dòng)停止、正反轉(zhuǎn)運(yùn)行、單步連續(xù)、加速減速等多種控制功能,這種基于 ASIC 的設(shè)計(jì)方法,可以加速同類型產(chǎn)品的開發(fā)速度,移植性強(qiáng),節(jié)約投資。最初看到這個(gè)課題,不知如何下手,所以就去網(wǎng)上找了許多資料,盡管有許多的設(shè)計(jì)方案,可是總感覺自己還是有許多的東西弄不太清楚,于是就請(qǐng)教同學(xué)。在每一個(gè)階段,我都是嚴(yán)格要求自己。從中我學(xué)會(huì)了很多新的東西,通過查找資料,對(duì)資料進(jìn)行分析,自學(xué)能力也顯著增強(qiáng)。在設(shè)計(jì)過程中,我通過查閱大量有關(guān)資料,與同學(xué)交流經(jīng)驗(yàn)和自學(xué),也經(jīng)歷了不少艱辛,但收獲同樣巨大。第7章 致 謝隨著畢業(yè)設(shè)計(jì)暫告尾聲,意味著我大學(xué)三年的學(xué)習(xí)生活既將結(jié)束,回首既往,自己一生最寶貴的時(shí)光能于這樣的校園之中,能在眾多學(xué)富五車、才華橫溢的老師們的熏陶下度過,實(shí)是榮幸之極。沒有王老師的辛勤栽培、孜孜教誨,就沒有我論文的順利完成。 最后要感謝的是我的父母,他們不僅培養(yǎng)了我對(duì)中國(guó)傳統(tǒng)文化的濃厚的興趣,讓我在漫長(zhǎng)的人生旅途中使心靈有了虔敬的歸依,而且也為我能夠順利的完成畢業(yè)論文提供了巨大的支持與幫助。use 。 48hz clock clk_3m : out std_logic。 architecture behavior of dev_count is signal qscan : std_logic_vector(20 downto 0)。) then qscan=qscan+1。clk_9k=qscan(14)。use 。 key_valid:in std_logic。 up_down:out std_logic_vector(2 downto 0) )。signal step_p_f:std_logic。039。 when0010= if up_down_f000 then up_down_f=up_down_f1。 when others= end case。step_p=step_p_f。附錄B3掃描觸發(fā)消抖編碼模塊LIBRARY ieee。 clk_1k : IN STD_LOGIC。END key_al8。end ponent。 key_valid : OUT STD_LOGIC )。 key_pressed : IN STD_LOGIC。 KEY_VALID : IN STD_LOGIC。signal SYNTHESIZED_WIRE_5 : STD_LOGIC_VECTOR(2 downto 0)。b2v_inst : key_scanPORT MAP(col = col, scan_t = SYNTHESIZED_WIRE_5, key_pressed = SYNTHESIZED_WIRE_6)。END。use 。 啟動(dòng)停止控制位 step_p: in std_logic。end step3_6。signal current_state,next_state:states:=st0。 then temp=temp+1。4分頻 when010=cp=temp(2)。64分頻 when110=cp=temp(6)。p_a:process(current_state,np) begin case current_state is when st0= if np=39。 end if。 else next_state=st0。 then next_state=st3。039。 when st4= if np=39。 end if。 else next_state=st4。 then next_state=st7。039。 when others= next_state=st0。 begin if cp39。 if start_stop=39。 then current_state=next_state。139。 end if。 elsif current_state=st1 then step=1001。 elsif current_state=st5 then step=0110。 end process p_c。 elsif current_state=st7 then step=0101。 elsif current_state=st3 then step=1010。 end process p_b。039。039。 or step_p_2=39。139。end process p_a。 else next_state=st6。 end if。 when st6= if np=39。039。 then next_state=st5。 else next_state=st2。 end if。 when st2= if np=39。039。 then next_state=st1。256分頻 when others= end case。16分頻 when100=cp=temp(4)。 case up_down is when000=cp=temp(0)。event and clk=39。signal temp:std_logic_vector(14 downto 0)。 此信號(hào)為正轉(zhuǎn),反轉(zhuǎn)。 步進(jìn)電機(jī)轉(zhuǎn)速控制時(shí)鐘 up_down:in std_logic_vector(2 downto 0)。use 。b2v_inst12 : scan_countPORT MAP(clk_3m = clk_3m, clk_1k = clk_1k, key_pressed = SYNTHESIZED_WIRE_6, scan_t = SYNTHESIZED_WIRE_5)。signal SYNTHESIZED_WIRE_3 : STD_LOGIC。 butt_code : OUT STD_LOGIC_VECTOR(3 downto 0) )。end ponent。ponent scan_count PORT(clk_3m : IN STD_LOGIC。 clk_3m : IN STD_LOGIC。 scan_t : IN STD_LOGIC_VECTOR(2 downto 0)。 key_valid : OUT STD_LOGIC。 LIBRARY work。up_down=up_down_f。end process。 when0011= step_p_f=not step_p_f。 when0001= if up_down_f111 then up_down_f=up_down_f+1。beginprocess(key_valid) begin if key_valid39。architecture a of key_f issignal up_down_f:std_logic_vector(2 downto 0)。 step_p: out std_logic。use 。end behavior。 end process。event and clk=39。clk_9k: out std_logic)。 use 。圖2電源指示燈圖3電源接口圖4下載線端口圖5 I/O口EPM240T100芯片上自帶有源晶振,可根據(jù)自己系統(tǒng)的特點(diǎn)選擇相應(yīng)的頻率,為系統(tǒng)提供精準(zhǔn)的時(shí)鐘源圖6時(shí)鐘電路圖7復(fù)位電路復(fù)位電路采用按鈕開關(guān)構(gòu)成的阻容復(fù)位電路,復(fù)位信號(hào)(低電平有效)接入PIN44即可用作普通的IO輸入,也可以通過Quartus II軟件將該按鈕的輸入作為DEV_CLRn功能。本次畢業(yè)設(shè)計(jì)的也得到了許多同學(xué)的熱情幫助。這除了自身努力外,與各位老師、同學(xué)和朋友的關(guān)心、支持和鼓勵(lì)是分不開的。而且大大提高了動(dòng)手的能力,使我充分體會(huì)到了在創(chuàng)造過程中探索的艱難和成功時(shí)的喜悅。同時(shí),與組員之間的團(tuán)結(jié)與合作,讓我更加意識(shí)到團(tuán)隊(duì)的重要性。此外,還得出一個(gè)結(jié)論:知識(shí)必須通過應(yīng)用才能實(shí)現(xiàn)其價(jià)值!有些東西自己以為學(xué)會(huì)了,但真正到用的時(shí)候才發(fā)現(xiàn)是兩回事,所以我認(rèn)為只有到真正會(huì)用的時(shí)候才是真的學(xué)會(huì)了。功夫不負(fù)有心人,在大家共同的努力下,盡管經(jīng)歷了不少的艱辛,但給我們積累了一定的設(shè)計(jì)經(jīng)驗(yàn),最后也有點(diǎn)小小的成就感。一路走來,感受頗多。步進(jìn)電機(jī)調(diào)速時(shí)鐘頻率;從圖所知,我們對(duì)于輸入的時(shí)鐘頻率必須大于3MHZ,我們從晶震芯片上得知,我們的輸入時(shí)鐘頻率為48MHZ,再經(jīng)過21分頻,分別在第5位得到3M