freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda交通燈課程設(shè)計(jì)--十字路口交通燈控制器設(shè)計(jì)(文件)

 

【正文】 相應(yīng)的信號(hào) 。 A方向左拐綠燈總時(shí)間為 4s; abyt=x04。 B方向左拐綠燈總時(shí)間為 4s; process (clk,clr,s) begin if clr=39。 系統(tǒng)復(fù)位后 A方向直行綠燈剩余時(shí)間為 33s, B方向直行紅燈剩余時(shí)間為 24s; elsif (clk39。bti=brt。 when 100=ati=art。 when 111=bti=abyt。 end if。 ati(7 downto 4)=ati(7 downto 4)。 bti(7 downto 4)=bti(7 downto 4)1。 end if。 最后給輸出信號(hào)賦值; bt=bti。 use 。 sel: out std_logic_vector(3 downto 0) )。 signal sl : std_logic_vector(2 downto 0):=000。 then sl=000。)then if sl=011 then sl =000。 13 end process。 when 011=sq=1000。 ou1:process(sl) 顯示時(shí)間與位選信號(hào)一一對(duì)應(yīng); begin case sl is when 000=ou=bt(3 downto 0)。 when others =ou=x0。 when x1=ds=x06。 when x5=ds=x6d。 when x9=ds=x6F。 saomiao:process(clk,ds,clr,sl) – 給輸出信號(hào)賦值; begin if clr=39。039。 else dis=ds。 sel =sq。 use 。 abl :out std_logic_vector(7 downto 0) )。 signal b :std_logic_vector(7 downto 0)。 end if。 when 011 =lt=a。 when 111 =lt=b。 process(clk,at,bt,s) – 綠燈時(shí)間小于 4秒時(shí),綠燈開始閃爍; begin 15 if(s=011) then if atx04 then if clk=39。039。 end if。139。 then b=00010010。 else b=00010010。 end rtl。 entity fenpin is 實(shí)體部分; port ( clk1k : in std_logic。 begin main logic process (clk1k) begin 16 if (clk1k39。 計(jì)數(shù) end if。 頂層文件模塊程序: library ieee。 sel : out std_logic_vector(3 downto 0)。 end ponent。 end ponent。 end ponent。 17 sel : out std_logic_vector(3 downto 0) )。 s : in std_logic_vector(2 downto 0)。定義中間信號(hào); signal s8,s7 :std_logic_vector(2 downto 0)。 begin u1: fenpin port map(clk1k=s1,clk=s2)。 u5: xianshi port map (clk=s2,clk1k=s1,clr=s3,at=s9,bt=s10,led=s12,sel=s13)。 sel=s13。 use 。 signal sig_clr : std_logic := 39。 signal sig_led1 :std_logic_vector(7 downto 0)。 shuma : out std_logic_vector(6 downto 0)。 begin instance u_jiaotongdeng : jiaotongdeng port map ( 端口映射; clk1k = sig_clk1k, clr = sig_clr, led1 =sig_led1, shuma = sig_shuma, sel =sig_sel )。 sig_clk1k =39。 sig_clr = 39。 after 3 sec, 39。 after 11 sec。 時(shí)間顯示部分 每個(gè)狀態(tài)顯示部分 。 19 附錄三 : /*************************試驗(yàn)箱仿真結(jié)果 **********************/ 注釋說(shuō)明 :右下角四個(gè)數(shù)碼管左邊兩位顯示的時(shí)間是 A 方向剩余時(shí)間,右邊兩位數(shù)碼管顯示的時(shí)間是 B 方向剩余時(shí)間,右上角第一行的四個(gè) LED燈從左至右依次表示的左轉(zhuǎn)綠燈、黃燈、直行綠燈、直行紅燈。 after 10 sec,39。, 39。 wait for period。039。 led1 :out std_logic_vector(7 downto 0) )。 constant period1 : time := ms。 signal sig_shuma : std_logic_vector(6 downto 0)。 architecture behaviour of test is signal sig_clk1k : std_logic := 39。 end rtl。 s3=clr。 u3: jishi port map(clk=s2,clr=s3,s=s7,at=s9,bt=s10)。 signal s12 :std_logic_vector(6 downto 0)。 end ponent。 ponent yima is 調(diào)用 yima 模塊聲明; port ( clr,clk : in std_logic。 at,bt : in std_logic_vector(7 downto 0)。 at,bt : in std_logic_vector(7 downto 0)。 s : in std_logic_vector(2 downto 0)。 e
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1