freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl交通燈課程設(shè)計說明書-文庫吧

2025-04-17 18:54 本頁面


【正文】 L2=39。139。 ELSIF 107s and s123 THEN 8 G1=39。139。 Y1=39。139。 R1=39。039。 L1=39。139。 G2=39。139。 Y2=39。139。 R2=39。139。 L2=39。039。 ELSIF 122s and s125 THEN G1=39。139。 Y1=39。139。 R1=39。039。 L1=39。139。 G2=39。139。 Y2=39。039。 R2=39。139。 L2=39。139。 END IF。 END IF。 END IF。 END PROCESS。 END。 控制器仿真波形 模塊三 : 顯示控制電路 輸入與計數(shù)器相連,輸出與掃描顯示電路相連 EN 45AEN 45BEN 02AEN 02BEN 15AEN 15BAI N 45A [7. .0]AI N 45B [7. .0]AI N 15A [7. .0]AI N 15B [7. .0]AI N 02[ 7. .0]D OU T M [7. .0]D OU T B[ 7. .0]XSKZins t4 9 VHDL 源程序: LIBRARY IEEE。 USE 。 USE 。 ENTITY XSKZ IS PORT(EN45A,EN45B,EN02A,EN02B,EN15A,EN15B:IN STD_LOGIC。 AIN45A,AIN45B:IN STD_LOGIC_VECTOR(7 DOWNTO 0)。 AIN15A,AIN15B:IN STD_LOGIC_VECTOR(7 DOWNTO 0)。 AIN02 :IN STD_LOGIC_VECTOR(7 DOWNTO 0)。 DOUTM,DOUTB:OUT STD_LOGIC_VECTOR(7 DOWNTO 0))。 END ENTITY XSKZ。 ARCHITECTURE ART OF XSKZ IS BEGIN PROCESS(EN45A,EN45B,EN02A,EN02B,EN15A,EN15B,AIN45A,AIN45B,AIN15A,AIN15B,AIN02)IS BEGIN IF EN45A=39。039。 THEN DOUTM=AIN45A(7 DOWNTO 0)。DOUTB=AIN45B(7 DOWNTO 0)。 ELSIF EN45B=39。039。 THEN DOUTM=AIN45B(7 DOWNTO 0)。DOUTB=AIN45A(7 DOWNTO 0)。 ELSIF EN02A=39。039。 THEN DOUTM=AIN02(7 DOWNTO 0)。DOUTB=AIN02(7 DOWNTO 0)。 ELSIF EN02B=39。039。 THEN DOUTM=AIN02(7 DOWNTO 0)。DOUTB=AIN02(7 DOWNTO 0)。 ELSIF EN15A=39。039。THEN DOUTM=AIN15A(7 DOWNTO 0)。DOUTB=AIN15B(7 DOWNTO 0)。 ELSIF EN15B=39。039。THEN DOUTM=AIN15B(7 DOWNTO 0)。DOUTB=AIN15A(7 DOWNTO 0)。 END IF。 END PROCESS。 END ARCHITECTURE ART。 10 顯示控制仿真波形 模塊四 : 掃描顯示電路 掃描顯示電路可以根據(jù)控制信號,驅(qū)動數(shù)碼管的顯示 C L K 2D O U T1 [3 ..0 ]D O U T2 [3 ..0 ]D O U T3 [3 ..0 ]D O U T4 [3 ..0 ]D A O U T[3 ..0 ]S E L [2 ..0 ]S E L TIM Ein s t5 掃描顯示模塊( SELTIME) VHDL 源程序: LIBRARY IEEE。 USE 。 USE 。 USE 。 ENTITY SELTIME IS PORT( CLK2:STD_LOGIC。 DOUT1,DOUT2,DOUT3,DOUT4:IN STD_LOGIC_VECTOR(3 DOWNTO 0)。 DAOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)。 SEL:OUT STD_LOGIC_VECTOR(2 DOWNTO 0))。 END。 ARCHITECTURE FUN OF SELTIME IS SIGNAL count: STD_LOGIC_VECTOR(2 DOWNTO 0)。 BEGIN SEL=count。 PROCESS(CLK2) BEGIN 11 IF(CLK239。EVENT AND CLK2=39。139。)THEN IF(COUNT=100)THEN COUNT=000。 ELSE COUNT=COUNT+1。 END IF。 END IF。 CASE COUNT IS WHEN 000=DAOUT=DOUT1。 WHEN 001=DAOUT=DOUT2。 WHEN 010=DAOUT=DOUT3。 WHEN 011=DAOUT=DOUT4。 WHEN OTHERS=DAOUT=0000。 END CASE。 END PROCESS。 END FUN。 掃描顯示仿真波形 模塊五 : 45s 計數(shù)器 該模塊的功能是實現(xiàn)綠燈在 45s 內(nèi) 的倒計時,通過分頻器分出來的時鐘源 clk進行計數(shù)。 c lkEN 4 5 AEN 4 5 BD OU T4 5 M [7 ..0 ]D OU T4 5 B[ 7 ..0 ]C N T4 5 sin s t1 45s 模塊 ( CNT45S) VHDL 源程序: library ieee。 use 。 use 。 entity CNT45s is port(clk,EN45A,EN45B:in std_logic。 DOUT45M,DOUT45B:out std_logic_vector(7 downto 0))。 end entity CNT45s。 12 architecture art of CNT45s is signal CNT6B:std_logic_vector(5 downto 0)。 begin process(clk,EN45A,EN45B)is begin if(clk39。event and clk=39。139。)then if EN45A=39。039。OR EN45B=39。039。 then CNT6B=CNT6B+1。 ELSE CNT6B=000000。 end if。 end if。 end process。 process(CNT6B) is begin CASE CNT6B is WHEN000000=DOUT45M=01000101。DOUT45B=01100010。 WHEN000001=DOUT45M=01000100。DOUT45B=01100001。 WHEN000010=DOUT45M=01000011。DOUT45B=01100000。 WHEN000011=DOUT45M=01000010。DOUT45B=01011001。 WHEN000100=DOUT45M=01000001。DOUT45B=01011000。 WHEN000101=DOUT45M=01000000。DOUT45B=01010111。 WHEN000110=DOUT45M=00111001。DOUT45B=01010110。 WHEN000111=DOUT45M=00111000。DOUT45B=01010101。 WHEN001000=DOUT45M=00110111。DOUT45B=01010100。 WHEN001001=DOUT45M=00110110。DOUT45B=01010011。 ?? ?? ?? ?? WHEN101001=DOUT45M=00000100。DOUT45B=00100001。 WHEN101010=DOUT45M=00000011。DOUT45B=00100000。 WHEN101011=DOUT45M=00000010。DOUT45B=00011001。 WHEN101100=DOUT45M=00000001。DOUT45B=00011000。 WHEN OTHERS=DOUT45M=00000000。DOUT45B=00000000。 END CASE。 END PROCESS。 END ARCHITECTURE ART。 13 45秒計數(shù)器仿真波形 模塊六: 15s 計數(shù)器 主要控制左轉(zhuǎn)燈 L L2 的倒計時功能,控制他們的 15s 倒計時。 c lkE N 1 5 AE N 1 5 BD O U T1 5 M [7 ..0 ]D O U T1 5 B [7 ..0 ]C N T1 5 sin s t2 15s模塊( CNT15S) VHDL 源程序: library ieee。 use 。 use 。 entity CNT15s is port(clk,EN15A,EN15B:in std_logic。 DOUT15M,DOUT15B:out std_logic_vector(7 downto 0))。 end entity CNT15s。 architecture art of CNT15s is signal CNT6B:std_logic_vector(3 downto 0)。 begin process(clk,EN15A,EN15B)is begin if(clk39。event and clk=39。139。)then if EN15A=39。039。OR EN15B=39。039。 then CNT6B=CNT6B+1。 ELSE CNT6B=0000。 end if。 end if。 end process。 process(CNT6B) is begin CASE CNT6B is WHEN0000=DOUT15M=00010110。DOUT15B=00011000。 WHEN0001=DOUT15M=00010101。DOUT15B=00010111。 14 WHEN0010=DOUT15M=00010100。DOUT15B=00010110。 WHEN0011=DOUT15M=00010011。DOUT15B=00010101。 WHEN0100=DOUT15M=00010010。DOUT15B=00010100。 WHEN0101=DOUT15M=00010001。DOUT15B=00010011。 WHEN0110=DOUT15M=00010000。DOUT15B=00010010。 WHEN0111=DOUT15M=00001001。DOUT15B=00010001。 WHEN1000=DOUT15M=00001000。DOUT15B=00010000。 WHEN1001=DOUT15M=00000111。DOUT15B=00001001。 WHEN1010=DOUT15M=00000110。DOUT15B=00001000。 WHEN1011=DOUT15M=00000101。DOUT15B=00000111。 WHEN1100=DOUT15M=00000100。DOUT15B=00000110。 WHEN1101=DOUT15M=00000011。DOUT15B=00000101。 WHEN1110=DOUT15M=00000010。DOUT15B=00000100。 WHEN1111=DOUT15M=00000001。DOUT15B=00000011。 WHEN OTHERS=DOUT15M=00000000。DOUT15B=00000000。 END CASE。 END PROCESS。 END ARCHITECTURE ART。 15秒計數(shù)器仿真波形 模塊七: 2s 計數(shù)器 控制黃燈 Y Y2 的 2s 倒計時功能 c lkEN 0 2 A
點擊復(fù)制文檔內(nèi)容
范文總結(jié)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1