freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的自動售貨機(jī)設(shè)計(jì)報(bào)告-文庫吧

2025-07-30 18:58 本頁面


【正文】 3) 當(dāng)商品的種類與價格不一致,可以考慮將用戶對象分為管理員及購買者,管理員可對商品價格進(jìn)行更改。 10 四、人員 及任務(wù) 分工 此次設(shè)計(jì)任務(wù)由信息科學(xué)與工程學(xué)院學(xué)生 共同完成。 其中, 主要負(fù)責(zé)主程序段中商品種類及數(shù)量選擇代碼編寫以及最終設(shè)計(jì)報(bào)告的撰寫, 主要負(fù)責(zé)主程序段中商品付款及找零代碼編寫以及波形仿真任務(wù), 主要負(fù)責(zé)分頻任務(wù)以及數(shù)碼管顯示,并對整個程序進(jìn)行梳理調(diào)通。 五 、致謝 設(shè)計(jì)本系統(tǒng)之前,在 vhdl 基本語法及 ise程序的基本運(yùn)用方面,楊丹老師曾細(xì)心教授。設(shè)計(jì)過程中,在時序仿真文件的編寫及數(shù)碼管顯示原理上,老師也耐心為我們講解。 在此我組人員表示誠摯感謝。 六 、附錄 library IEEE。 use 。 use 。 use 。 entity automarket is port( shift: in std_logic。 切換鍵 cancel: in std_logic。 取消及返回開關(guān) ok: in std_logic。 確認(rèn)開關(guān) but0,but1,but2,but3,but4: in std_logic。 按鈕輸入 c1,c5,c10,c20: in std_logic。 投錢信號 co1,co5,co10,co20: out integer range 0 to 5。 輸出價格 clk: in std_logic。 rst: in std_logic。 outMoney:out std_logic_vector(7 downto 0)。共陽極七段高位,顯示需要多少錢 choOut0:out std_logic。 顯示數(shù)碼管片選輸出口 choOut1:out std_logic。 choOut2:out std_logic。 11 choOut3:out std_logic。 lout1: out std_logic。 led 燈,顯示是否處在選擇商品狀態(tài) lout2: out std_logic。 led 燈,顯示是否處在選擇數(shù)量狀態(tài) lout3: out std_logic。 led 燈,顯示是否處在付款狀態(tài) lout4: out std_logic led 燈,顯示是否處在找零狀態(tài) )。 end automarket。 architecture Behavioral of automarket is signal clk1: std_logic。分頻后時鐘 signal stotMoney: integer range 0 to 99。 signal sneedMoney: integer range 0 to 96。 signal choClk:integer range 0 to 3。 type typestate is (sstart,schoGoods10,schoGoods11,schoGoods20,schoGoods21,schoNum1,schoNum2,spayment, srefund)。狀態(tài)變量 signal state:typestate。 begin main:process(state,clk1,c1,c5,c10,c20,shift,but0,but1,but2,but3,but4,ok,cancel) variable totMoney: integer range 0 to 100。 variable needMoney: integer range 0 to 96。 需要的錢,個位十位 ,最多 16*3+16*3=96 variable tempCo1,tempCo5,tempCo10,tempCo20: integer range 0 to 5。 variable choGoods1,choGoods2: integer range 0 to 16。 選擇的商品 variable choNum1,choNum2: integer range 0 to 3。 選擇的商品的數(shù)量 variable j : integer range 0 to 20。 variable i : integer range 0 to 10。 variable lastOk: std_logic。 確認(rèn)開關(guān)的上一個狀態(tài) variable lastcancel: std_logic。 variable isAdd:std_logic。 判斷是否已經(jīng)計(jì)算 過所需金額 variable ableOk: std_logic。 是否允許 ok 鍵有效 variable unablec1,unablec5,unablec10,unablec20:std_logic。 variable unablebut0,unablebut1,unablebut2,unablebut3,unablebut4:std_logic。 制作上升 begin if(clk139。event and clk1=39。139。) then case state is when sstart = totMoney:=0。 stotMoney=0。 needMoney:=0。 sneedMoney=0。 12 tempCo1:=0。 tempCo5:=0。 tempCo10:=0。 tempCo20:=0。 choNum1:=0。 choNum2:=0。 j:=0。 i:=0。 lastOk:=ok。 isadd:=39。039。 lastcancel:=cancel。 lout2=39。039。 lout3=39。039。 lout4=39。039。 co1=0。 co5=0。 co10=0。 co20=0。 lout1=39。139。 unablec1:=c1。 unablec5:=c5。 unablec10:=c10。 unablec20:=c20。 unablebut0:=but0。 unablebut1:=but1。 unablebut2:=but2。 unablebut3:=but3。 unablebut4:=but4。 ableOk:=39。039。 state=schoGoods10。 第一個商品的種類選擇 when schoGoods10 = if(but0=39。039。 and unablebut0=39。139。) then unablebut0:=39。039。 end if。 if(but1=39。039。 and unablebut1=39。139。) then unablebut1:=39。039。 end if。 if(but1=39。039。 and but0=39。139。 and unablebut0=39。039。) then choGoods1:=0。 unablebut0:=39。139。 needMoney:=choGoods1。 sneedMoney=needMoney。 state=schoGoods11。 elsif(but0=39。039。 and unablebut1=39。039。 and but1=39。139。) then choGoods1:=10。 unablebut1:=39。139。 needMoney:=choGoods1。 13 sneedMoney=needMoney。 state=schoGoods11。 end if。 if(rst=39。139。) then state=sstart。 end if。 when schoGoods11= if(but0=39。039。 and unablebut0=39。139。) then unablebut0:=39。039。 end if。 if(but1=39。039。 and unablebut1=39。139。) then unablebut1:=39。039。 end if。 if(but2=39。039。 and unablebut2=39。139。) then unablebut2:=39。039。 end if。 if(but3=39。039。 and unablebut3=39。139。) then unablebut3:=39。039。 end if。 if(but4=39。039。 and unablebut4=39。139。) then unablebut4:=39。039。 end if。 if(shift=39。039。 and choGoods1=0) then if(but0=39。139。 and unablebut0=39。039。) then choGoods1:=0。unablebut0:=39。139。 ableOk:=39。139。 elsif(but1=39。139。 and unablebut1=39。039。) then choGoods1:=1。unablebut1:=39。139。 ableOk:=39。139。 elsif(but2=39。139。 and unablebut2=39。039。) then choGoods1:=2。unablebut2:=39。139。 ableOk:=39。139。 elsif(but3=39。139。 and unablebut3=39。039。) then choGoods1:=3。unablebut3:=39。139。 ableOk:=39。139。 elsif(bu
點(diǎn)擊復(fù)制文檔內(nèi)容
試題試卷相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1