freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于saa7113的圖像灰度信息采集系統(tǒng)通信工程畢業(yè)論文-閱讀頁

2025-07-12 22:59本頁面
  

【正文】 所示利用 I2C 總線進行數(shù)據(jù)通信時,應(yīng)遵守如下基本操作。當(dāng)數(shù)據(jù)總線 SDA 和始終總線 SCL 都為高電平時,為不忙狀態(tài)。所有的操作必須在開始之后進行。在結(jié)束條件下,所有的操作都不能進行。若數(shù)據(jù)線 SDA 改變時,必須在詩中 SCL 為低電平時方可進行。任意期間在總線空閑時,一旦產(chǎn)生起始條件,即開始控制總線而成為主器件,此時,總線處于忙狀態(tài),其他器件不能在產(chǎn)生起始條件。在一個通信過程中,應(yīng)該有一個起始條件和一個停止條件,如果在二者之間有起始條件產(chǎn)生,該條件被稱為重復(fù)起始條件。主器件在 SCL 上產(chǎn)生 8 個脈沖,第 9 個脈沖低電平期間,發(fā)送器件釋放 SDA 線,即置高 SDA。因此,每個字節(jié)傳送需要 9 個脈沖,每次傳輸?shù)淖止?jié)數(shù)不受限制的。河北大學(xué) 2022 屆本科畢業(yè)生論文(設(shè)計)10 本章總結(jié) 本章詳細的介紹了芯片 SAA7113 的原理,功能結(jié)構(gòu)特點,工作流程等,另外還對 I2C 總線的工作時序和原理進行了詳細的介紹,讓我們從理論上有了一定的理性認識,同時也是為后面的章節(jié)做準備工作。完成視頻信號從模擬信號到數(shù)字信號的轉(zhuǎn)換、圖像信號與其他復(fù)合消隱信號、復(fù)合同步信號分離、視頻信號的格式轉(zhuǎn)換等操作,最終提供后端可以處理的數(shù)字視頻數(shù)據(jù)。 視頻采集芯片 SAA7113 初始化 本設(shè)計中 SAA7113 的初始化是利用 I2C 總線來實現(xiàn)的,使之開始正常工作。我們采用了 PhiliPs 公司的視頻輸入處理芯片 SAA7113 來完成數(shù)據(jù)的 A/D 轉(zhuǎn)換。 SAA7113 的寄存器的介紹SAA7113 的地址從 00H 開始,其中 14H、18H~1EH、20H~3FH、63H~FFH 均為保留地址,沒有用到,00H、1FH、60H~62H 為只讀寄存器,只有以下寄存器可河北大學(xué) 2022 屆本科畢業(yè)生論文(設(shè)計)11以讀寫:01H~05H(前端輸入通道部分) ,06H~13H、15H~17H(解碼部分) ,40H~60H(常規(guī)分離數(shù)據(jù)部分) 。表 41 SAA7113 各寄存器介紹地址 寄存器功能 默認值設(shè)置值配置功能闡述00H 版本號 只讀01H 水平增量延遲 08H 08H02H 模擬輸入控制 1 C0H C0H 選模式 0,輸入通道選擇 AI11,輸入復(fù)合視頻信號,然后更新關(guān),放大器及抗鋸齒波濾波器啟動。靜態(tài)增益控制通道 1 取值,約3dB靜態(tài)增益控制通道 2 取值,約3dB06H 水平同步開始 E9H EBH 對應(yīng)不同的延遲時間07H 水平同步停止 0DH E0H 對應(yīng)不同的延遲時間08H 同步控制 98H B8H 垂直噪聲設(shè)為正常模式,水平 PLL 關(guān),水平時間為快速模式,50HZ,625 幀,自動場頻檢測。對 SAA7113 寄存器的讀操作如表 43:表 43 SAA7113 寄存器的讀操作S Slave address W ACKS Subaddress ACKSSr Slave address R ACKS Data ACKm P對 SAA7113 控制字寄存器讀操作比寫操作復(fù)雜,分為兩步進行:首先產(chǎn)生一個開始信號,完成對器件地址和從地址的寫操作,主器件收到應(yīng)答位之后,接著需要重新發(fā)送一個開始信號和 4BH(器件地址+讀)字節(jié),SAA7113 收到后發(fā)出應(yīng)答信號,從地址的控制字單元數(shù)據(jù)從 SDA 線上輸出,開始接收數(shù)據(jù);數(shù)據(jù)傳輸結(jié)束時發(fā)停止信號。SAA7113 通過 I2C 總線接受外部控制器的完全控制,對 SAA7113研發(fā)主要是初始化,而初始化工作要通過 I2C 總線來進行。考慮到課題中的實際應(yīng)用,該 I2C 總線模型如下:單主操作,只實現(xiàn)簡單的寫和讀操作,寫地址連續(xù),沒有競爭和仲裁,是很簡單的 I2C 總線系統(tǒng)。針對 SAA7113 進行初始化,需要在 I2C 總線上傳輸共有 34 個字節(jié):從器件地址(7bits)和寫操作位(1bits)占一個字節(jié),基地址參數(shù)占一個字節(jié),再加上內(nèi)部地址連續(xù) 32 個寄存器(每個寄存器此而一個直接數(shù)據(jù)),寫完最后地 34 個字節(jié)后產(chǎn)生一個信號,作為初始化結(jié)束信號 [9][10][11][12]。河北大學(xué) 2022 屆本科畢業(yè)生論文(設(shè)計)15IDLE WRITEREAD ACKSTART STOP圖 43 I2C 總線狀態(tài)轉(zhuǎn)移圖該模塊是由兩個實體組成的,i2c_core 和 i2c。下面介紹 i2c_core 和 i2c。圖 44給出了 I2C 總線的時序狀態(tài)轉(zhuǎn)移圖。nReset : in std_logic。cmd : in std_logic_vector(2 downto 0)。busy : out std_logic。Dout : out std_logic。SDA : inout std_logic)。當(dāng)一個字節(jié)傳輸完畢以后,就應(yīng)該有一個 cmd_ack 信號。而 SCL 之所以是雙向的,是因為 i2c_core 與 i2c 進行數(shù)據(jù)傳輸時,有數(shù)據(jù)由 i2c 主動向 i2c_core 傳輸,也有數(shù)據(jù)由 i2c_core 主動向 i2c 傳輸,因此這兩個端口都是雙向的。下面是 i2c 模塊的實體部分河北大學(xué) 2022 屆本科畢業(yè)生論文(設(shè)計)17entity simple_i2c isport (clk : in std_logic。nReset : in std_logic。 4x SCL input signalsstart,stop,read,write,ack_in : std_logic。 output signalscmd_ack : out std_logic。Dout : out std_logic_vector(7 downto 0)。SDA : inout std_logic)。河北大學(xué) 2022 屆本科畢業(yè)生論文(設(shè)計)18 圖 46 生成的 simple_i2c 元件 該程序中,包括了一個進程和一個狀態(tài)機(1)進程 shift_register:作用是將數(shù)據(jù)進行串行移位。(2)狀態(tài)機:有六種狀態(tài)。(a)Idle 狀態(tài) 當(dāng) idle 時,首先判斷 go 語句是否等于 1,go 語句是用來判斷是否有讀寫請求的。當(dāng) go 語句為 1 時,就意味著有命令請求,然后判斷是start,write 或 read,并做響應(yīng)處理。(c)Write 狀態(tài) 當(dāng) write 時,首先判斷 i2c_core 的 core_ack 是否為 1,如果是,計數(shù)器減 1,同時移位寄存器 sr 的最高位值賦給 icore_txd,并有icore_txd 賦值給 core_txd。(d)Read 狀態(tài) 當(dāng) read 時,首先判斷 core_ack 是否為 1,如果是,計數(shù)器減 1,當(dāng)計數(shù)器為 0 時,通知系統(tǒng)發(fā)送 ack 信號。(f)Stop 狀態(tài) 當(dāng)為 stop 狀態(tài)是,系統(tǒng)自動處于空閑狀態(tài)?!?初始化數(shù)據(jù)存放方案河北大學(xué) 2022 屆本科畢業(yè)生論文(設(shè)計)19對于 I2C 總線初始化 SAA7113 的初始化數(shù)據(jù),可以連續(xù)的存放在 ROM 中。其生成的元件如圖 47 所示。本論文采用的是讀回寄存器單元的值,與配置值比較來驗證正確性,然后再次改寫寄存器的值,再次讀回來驗證 I2C 總線的正確性。下圖為 41H 單元初始狀態(tài)的值圖 49 41H 初始值從圖 49 可以看出 I2C_DATA 可以讀出 4BH(器件地址+讀)如圖 410 所示,這代表此次操作為讀操作。在 DATA_read 引腳讀回的值并不全為‘1’ ,然后我們在觀察改寫后的41H 單元的數(shù)據(jù),如圖 412 所示。為接下來的圖像采集做好了準備工作。本設(shè)計 CCD 采集輸出的是 PAL 制式的模擬視頻信號,幀頻為 25 幀/秒,分辨率為 720*576。由于時間精力有限,本設(shè)計把 CCD 攝像頭所采集到的數(shù)據(jù)讀回來,從寄存器來看它所采集的圖像。由于 PAL 制電視信號是隔行掃描,分為奇數(shù)場和偶數(shù)場傳輸,數(shù)字化以后仍然格式不變,因此需要將奇數(shù)場和偶數(shù)場的數(shù)據(jù)還原成一幅圖像。SAV/EAV 有效數(shù)據(jù)格式如下表所示表 42 SAV/EAV 有效數(shù)據(jù)格式第 7 位 第 6 位 第 5 位 第 4 位 0~3 位始終為‘1’ 場標志位,第一場位‘0’ ,第二場位‘1’處于場消隱階段位‘1’ ,有效數(shù)據(jù)階段為‘0’。 “X”表示該位狀態(tài)沒有作用。首先來看一下整體采集的數(shù)據(jù)如圖 413 所示,接下來看一下圖像開始和結(jié)尾兩部分,圖 413 整體采集數(shù)據(jù)部分從圖像的開始部分可以看出 VPO 的數(shù)據(jù)為“FF 00 00 80”,即 SAV 為“80”由表 42 可知此后的數(shù)據(jù)為第一場的有效數(shù)據(jù)。圖 415 圖像的結(jié)束部分河北大學(xué) 2022 屆本科畢業(yè)生論文(設(shè)計)22 本章總結(jié) 本章是本論文的核心部分,它構(gòu)成了采集系統(tǒng)的整體框圖,初始化及圖像采集等功能。河北大學(xué) 2022 屆本科畢業(yè)生論文(設(shè)計)23五 全文工作總結(jié)及未來展望 本文工作總結(jié) 本論文從系統(tǒng)設(shè)計到最終 FPGA 實現(xiàn),其中涉及視頻圖像采集基礎(chǔ)知識,VHDL語言。通過這次畢業(yè)設(shè)計,從中學(xué)到了許多新知識,為以后的工作打下了堅實的基礎(chǔ)。 未來展望 鑒于時間和精力有限,本論文還有許多工作可以做,比如與 DSP 相互配合使用實現(xiàn)圖像的一些實時處理,這是將來在工作中需要積累和實踐的。 首先要感謝田曉燕老師,因為本設(shè)計是在她的悉心指導(dǎo)下完成的。在整個設(shè)計過程中,她每周都定時與我們交流,聽取我們的設(shè)計進展,為我們解答設(shè)計過程中遇到的各種問題,并安排布置下一步的設(shè)計任務(wù)。田老師要指導(dǎo)很多同學(xué)的畢業(yè)設(shè)計,加上本來就有的教學(xué)任務(wù),工作量之大可想而知。在完成設(shè)計的過程中,我綜合運用四年來所學(xué)的專業(yè)知識,并且翻閱了大量的參考資料。同時,我學(xué)會了如何將學(xué)到的知識真正轉(zhuǎn)化為自己的東西,怎么更好地處理理論和實踐相結(jié)合的問題。我也從各位同學(xué)身上學(xué)到了很多東西,在此也向他們表示最誠摯的謝意!河北大學(xué) 2022 屆本科畢業(yè)生論文(設(shè)計)25參考文獻[1] SAA7113H 9bit video input processor data sheet。數(shù)字圖像處理與分析一比京:清華大學(xué)出版社,2022?;?FPGA 的嵌入式系統(tǒng)設(shè)計 Xilinx 京:機械工業(yè)出版社,2022。Xilinx 可編程邏輯器件的高級應(yīng)用與設(shè)計技巧。[7] 石英,李新新,姜宇柏。北京:機械工業(yè)出版社,2022。從零開始學(xué) CPLD 和 Verilog HDL 編程技術(shù)。[9] 王誠,薛小剛,鐘信潮。北京:人民郵電出版社,2022。SAA7111A 中 I2C 控制器的 FPGA 實現(xiàn)[J]。FPGA 有限狀態(tài)機模擬 I2C 總線設(shè)計[J]。視頻解碼技術(shù)中 I2C 總線控制核的實現(xiàn)[J]。視頻解碼芯片中 I2C 串行通信的研究[N]?;?VHDL 的 I2C 總線 IP 核設(shè)計[J].航空電子技術(shù),2022年 12 月第 37 卷第 4 期,P45P52[15] 楊剛,龍海燕,現(xiàn)代電子技術(shù)VHDL 與數(shù)字系統(tǒng)設(shè)計,電子工業(yè)
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1