freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的鍵盤掃描電路設(shè)計(jì)_畢業(yè)論文設(shè)計(jì)-閱讀頁(yè)

2024-09-14 14:07本頁(yè)面
  

【正文】 供時(shí)鐘信號(hào),則鍵盤掃描電路的輸入時(shí)鐘信號(hào) clk 為 。鍵盤掃描電路測(cè)試時(shí)采用輸入為 100khz的信號(hào),所以仿真波形如圖 所示 : 圖 由圖可以看出 clk 為時(shí)鐘脈沖, scan_f 為掃描頻率,由圖可以看出在第 101 處會(huì)有一個(gè)分頻,達(dá)到了分頻的效果,可見(jiàn)分頻器所起到了一個(gè)分頻的作用。運(yùn)行該電路的程序代碼,其仿 真結(jié)果如圖 : 圖 鍵盤掃描計(jì)數(shù)器電路仿真的波形 從圖 ,當(dāng)沒(méi)有按鍵按下時(shí),掃描計(jì)數(shù)器是按 1~15 反復(fù)計(jì)數(shù)的,比如圖中當(dāng)使用者按下“ 1”(對(duì)應(yīng)的十進(jìn)制編碼為 14)的按鍵時(shí),就保持這種狀態(tài),當(dāng)輸入的 scan_f和使能鍵 key_pressed都為高電平時(shí),它又繼續(xù)掃描,當(dāng)又有按鍵按下時(shí),又保持另一種狀態(tài),仿真波形如圖 : 圖 仿真波形 同時(shí)也可以得到掃描計(jì)數(shù)器的電路符號(hào),這個(gè)電路有 3個(gè)輸入端,分別是 clk、 scan_f、key_pressed,有 1 個(gè)輸出端,輸出端為 scan_t, Clk是分頻器電路的輸出端。當(dāng)使用者按下鍵盤按鈕,則鍵盤輸入到按鍵檢測(cè)電路 col的某一位值為 0,鍵盤掃描到此鍵時(shí),則 key_pressed=’ 0’表示檢測(cè)到已按鍵同時(shí)輸出行的值。 也很方便的得到了按鍵檢測(cè)電路的電路符號(hào),該電路一共有 2 個(gè)輸入,分別為 col 和scan_t,有 2個(gè)輸出端,分別為 row和 key_pressed。所以只需要把 key_pressed作為計(jì)數(shù)器的重置輸入,使計(jì)數(shù)器只有在使用者按下鍵盤時(shí),在 key_pressed=0 時(shí)間足夠長(zhǎng)的一次使重置無(wú)動(dòng)作,而計(jì)數(shù)器開(kāi)始倒計(jì)數(shù),自然可將key_pressed在短時(shí)間變?yōu)?0的情況濾除掉。按鍵抖動(dòng)消除電路符號(hào)如圖 : 圖 鍵盤編碼電路 編碼是按下面表格中相對(duì)應(yīng)來(lái)編碼的,比如“ 0”代表“ 1”,“ 3”代表“”,一一對(duì)應(yīng)來(lái)編碼的, 詳見(jiàn)如表 : 表 在單片機(jī)應(yīng)用系統(tǒng)中,實(shí)現(xiàn)數(shù)據(jù)的輸入、傳達(dá)命令的功能,是人工干預(yù)的主要手段。編碼鍵盤指的是由硬件邏輯電路完成必要的按0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 1 2 3 4 5 6 / 7 8 9 + 0 = 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 14 鍵識(shí)別工作和可靠的措施。此鍵盤還是比較容易使用的,但是硬件部分還是比較復(fù)雜的,該電路用的是編碼鍵盤。鍵盤編碼電路的仿真如圖 : 圖 從圖中可以看出掃描時(shí)從 0~15計(jì)數(shù)的方式掃描,注意 0~15不是讀出的值,而是按鍵的代號(hào),這就是設(shè)計(jì)鍵盤編碼電路的目的。首先,將 分頻成 1khz,此頻率作為鍵盤掃描的頻率。當(dāng)檢測(cè)電路檢測(cè)到有按鍵按下時(shí),檢測(cè)電路的key_pressed 將為 0,同時(shí)輸出到掃描電路使計(jì)數(shù)停止,與此同時(shí)抖動(dòng)消除電路在進(jìn)行確認(rèn)到有使用者正確按鍵后,輸出按鍵確定( key_valid)。 signal key_pressed : std_logic。 signal key_valid : std_logic。 clock scan_f : out std_logic。 END ponent。 scan_f : in std_logic。 scan_t : out std_logic_vector(3 downto 0))。 ponent jiance PORT( col : in std_logic_vector(3 downto 0)。 row : out std_logic_vector(3 downto 0)。 END ponent。 key_pressed? clk : in std_logic。 1khz clock key_valid : out std_logic)。 ponent bianma1 東海科學(xué)技術(shù)學(xué)院本科生畢業(yè)論文 17 port( scan_t : in std_logic_vector(3 downto 0)。 bianma : out std_logic_vector(3 downto 0))。 BEGIN U1:fpq100to1 port map (clk , scan_f)。 U3:jiance port map (col , scan_t , row , key_pressed )。 U5:bianma1 port map (scan_t , clk , key_valid , bianma )。 將 5個(gè)子程序整合后的總程序進(jìn) 行編程并仿真,就可以得到仿真波形,從仿真波形中很清楚的可以看到 輸入所對(duì)應(yīng)的輸出值與程序中設(shè)定的是一樣的。 entity fpq100to1 is generic (osc_f : integer := 3686 。 generic (osc_f : integer := 100 。for testing port( clk : in std_logic。 end fpq100to1。 osc_bit : integer := 12)。 osc_bit : integer := 7)。 clock scan_f : out std_logic。 1khz 東海科學(xué)技術(shù)學(xué)院本科生畢業(yè)論文 19 end fpq100to1。內(nèi)部反饋的實(shí)現(xiàn)方法是被設(shè)計(jì)實(shí)體的一個(gè)端口設(shè)定為緩沖模式,同時(shí)在該設(shè)計(jì)實(shí)體內(nèi)部建立內(nèi)部節(jié)點(diǎn)。設(shè)定為緩沖模式的端口信號(hào)驅(qū)動(dòng)源是來(lái)自被設(shè)計(jì)實(shí)體的內(nèi)部 或者是來(lái)自其他實(shí)體設(shè)定為緩沖模式的端口。定義的端口程序是 : entity smjsq is port(clk : in std_logic。1khz clock key_pressed : in std_logic。count end smjsq。定義的端口程序是 : entity jiance is port( col : in std_logic_vector(3 downto 0)。keybord scan location row : out std_logic_vector(3 downto 0)。key_pressed0 unkey_pressed1 end jiance。程序運(yùn)行結(jié)果如圖 : 圖 按鍵抖動(dòng)消除電路中 在檢測(cè)到有按鍵按下的時(shí)候,這個(gè)過(guò)程中,被按到的按鍵必然會(huì)產(chǎn)生抖動(dòng),所以我們就要設(shè)計(jì)一個(gè)能消除按鍵抖動(dòng)的電路,消除不必要的誤差,按鍵抖動(dòng)消除電路實(shí)際上是一個(gè)倒數(shù)計(jì)數(shù)器電路。 use 。 use 。 key_pressed? clk : in std_logic。 1khz clock key_valid : out std_logic)。 在按鍵抖動(dòng)消除電路中, key_pressed、 clk和 scan_f為輸入端口,只有一個(gè)輸出端口是 key_valid。定義的端口程序是 : library ieee。 use 。 entity bianma1 is port( scan_t : in std_logic_vector(3 downto 0)。 bianma : out std_logic_vector(3 downto 0))。 以上程序是在鍵盤編碼電路中, scan_t、 clk 和 key_valid為鍵盤編碼電路的輸入東海科學(xué)技術(shù)學(xué)院本科生畢業(yè)論文 22 端口, bianma為按鍵編碼的輸出端口。event and clk=39。) then if (key_valid=39。) then case scan_t is encoding when 0000= bianma =0001。 2 when 0010= bianma =0011。 c when 0100= bianma =0100。 5 when 0110= bianma =0110。 d when 1000= bianma =0111。 8 when 1010= bianma =1001。 e when 1100= bianma =1010。 0 when 1110= bianma =1011。 f end case。程序運(yùn)行結(jié)果如圖 : 圖 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 23 總結(jié) 本次設(shè)計(jì)描述了 基于 VHDL 的鍵盤掃描電路設(shè)計(jì) ,主要是利用 VHDL 硬件描述語(yǔ)言,在MAX+PLUSⅡ設(shè)計(jì)平臺(tái)下進(jìn)行設(shè)計(jì)、編程、 查找錯(cuò)誤、進(jìn)行修改,直到最后的仿真通過(guò)。 通過(guò)基于 VHDL的鍵盤掃描電路設(shè)計(jì),不但收獲了新的知識(shí),并且多學(xué)了一門編程語(yǔ)言,同時(shí)還鞏固了原來(lái)都差不多忘記的知識(shí),總體來(lái)說(shuō)收獲還是很大的,在設(shè)計(jì)期間,遇到了很多問(wèn)題,有一段時(shí)間都做不下去了,就放下了一段時(shí)間沒(méi)有動(dòng)過(guò),導(dǎo) 致的是越來(lái)越不想做了,后來(lái)在指導(dǎo)老師馮老師的鼓勵(lì)下,又重新拿起了這次的畢業(yè)設(shè)計(jì),老師不斷的鼓勵(lì)是我前進(jìn)的動(dòng)力,我每天都在堅(jiān)持著做一點(diǎn)再做一點(diǎn),在期間也遇到了很多的困難,開(kāi)始還很笨拙,老師說(shuō)新手怎么可能不出錯(cuò),就這樣堅(jiān)持著,后來(lái)就慢慢的熟練了很多,再后來(lái)就沒(méi)當(dāng)初的那么難了,在指導(dǎo)老師馮老師的幫助下最后終于順利的完成了這次的畢業(yè)設(shè)計(jì)。 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 24 致謝 在整個(gè)設(shè)計(jì)的過(guò)程中,最應(yīng)該感謝的是我的指導(dǎo)老師馮老師給了我很大的幫助,感謝她對(duì)我的耐心教導(dǎo)和細(xì)心的照顧。沒(méi)有 馮 老師的辛勤栽培、孜孜教誨,就沒(méi)有我論文的順利完成。在整個(gè)論文設(shè)計(jì)的過(guò)程中,還應(yīng)該謝謝我的同學(xué)對(duì)我的幫助,以及那些給過(guò)我?guī)椭娜?,我在這里表示最真誠(chéng)的感謝 。北京交通大學(xué)出版社 , [10]徐麗萍 .電子綜合電路設(shè)計(jì)與安裝調(diào)試 [M].北京:中國(guó)勞動(dòng)社會(huì)保障出版社, 2020. [11]胡振華 . VHDL與 FPGA設(shè)計(jì) [M].北京:中國(guó)鐵道出版社 , [12]康華光 .數(shù)字電子技術(shù)基礎(chǔ)(第五版) [M]. 北京: 高等教育出版社, . [13]趙鑫等 .VHDL與數(shù)字電路設(shè)計(jì) [M].北京:機(jī)械工業(yè)出版社 , [14]Michael Petronino, Ray Bambha, James Carswell, and Wayne Bvrleson. ANFPGABASED DATA ACQUISITION SYSTEM FOR A, 95 GHZ WBAND of Electrical and Computer Engineering MA 01003: 41054108 [15]東方人華 .MAX+PLUSⅡ入門與提高 [M]. 北京:清華大學(xué)出版社 .. [16]張丕狀,李兆光 .基于 VHDL的 CPLD/FPGA開(kāi)發(fā)與應(yīng)用 [M].北京:國(guó)防工業(yè)出版社,2020:216. [17] Petterson, Michael A. MATRIX KEYBOARD ENCODER CIRCUIT. [18]Michael Petronino, Ray Bambha, James Carswell, and Wayne Bvrleson. ANFPGABASED DATA ACQUISITION SYSTEM FOR A, 95 GHZ WBAND of Electrical and Computer Engineering MA 01003: 41054108 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 26 附錄 分頻器電路的程序 library ieee。 use 。 osc_bit : integer := 12)。 osc_bit : integer := 7)。 clock scan_f : out std_logic。 1khz end fpq100to1。event and clk=39。)then if q=99 then q = q + 1 。 end if。 end if。 scan_f =39。 when q=1100100。 東海科學(xué)技術(shù)學(xué)院本科生畢業(yè)論文 27 鍵盤掃描計(jì)數(shù)器電路的程序 library ieee。 use 。 entity smjsq is port(clk : in std_logic。1khz clock key_pressed : in std_logic。count end smjsq。 begin scan_1:process(clk,sc
點(diǎn)擊復(fù)制文檔內(nèi)容
法律信息相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1