freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的數(shù)字電壓表的設(shè)計(jì)與實(shí)現(xiàn)-文庫吧資料

2024-09-06 12:03本頁面
  

【正文】 大 地增強(qiáng)學(xué)生的實(shí)踐動(dòng)手能力,發(fā)掘?qū)W生的 創(chuàng)新能力和 提高學(xué)生的 計(jì)算機(jī)應(yīng)用能力 , 為 以后的 工作打下基礎(chǔ)。在產(chǎn)品設(shè)計(jì)與制造方面 , EDA 技術(shù)可實(shí)現(xiàn)前期的計(jì)算機(jī)仿真、系統(tǒng)級(jí)模擬及測試環(huán)境的仿真、 PCB 的制作、電路板的焊接、 ASIC 的設(shè)計(jì)等。 天津科技大學(xué) 2020屆 本科生 畢業(yè)論文 14 如今 EDA 技術(shù) 的 發(fā)展 十分 迅 猛 , 應(yīng)用 相當(dāng) 廣泛 , EDA 的應(yīng)用涵蓋 機(jī)械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域。 硬件描述語言 : 硬件描述語言 (HDL)是一種用于進(jìn)行電子系統(tǒng)硬件設(shè)計(jì)的計(jì)算機(jī)高級(jí)語言,電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式 是通過軟件設(shè)計(jì)的方法來描述的 。 尤其 是支持硬件描述語言的 EDA 工具的出現(xiàn),使 得 復(fù)雜數(shù)字系統(tǒng)設(shè)計(jì)自動(dòng)化 也有可能實(shí)現(xiàn) , 只要數(shù)字系統(tǒng)的行為用用硬件語言描述正確 ,就 能對(duì) 該數(shù)字系統(tǒng)的芯片 進(jìn)行 設(shè)計(jì)與制造。在電子產(chǎn)品的各個(gè)設(shè)計(jì)階段 該工具可以 發(fā)揮 非常 重要 的 作用,使設(shè)計(jì)更復(fù)雜的電路和系統(tǒng) 有了希望 。1990 年 是 EDA(電子設(shè)計(jì)自動(dòng)化 )階段:這一階段的主要 特點(diǎn) 是 高級(jí)描述語言,系統(tǒng)級(jí)仿真和綜合技術(shù) ,采用 “自頂向下 ”的設(shè)計(jì)理念,將設(shè)計(jì)前期的許多高層次設(shè)計(jì)由 EDA 工具來完成。 1970 年 的 CAD(計(jì)算機(jī)輔助設(shè)計(jì) )階段:這一階段的主要特征是利用計(jì)算機(jī)輔助 來 進(jìn)行電路原理圖 的編輯, 用 PCB 來 布 銅 布線, 這樣就可以 使設(shè)計(jì)師從傳統(tǒng) 的 高度重復(fù)繁雜的繪圖勞動(dòng)中解脫出來。 EDA 技術(shù)是 以 計(jì)算機(jī)、集成電路、電子系統(tǒng)的設(shè)計(jì) 為基礎(chǔ)逐漸 發(fā)展起來的,到現(xiàn)在 已有 30 多年的歷 史 。 同時(shí)能 實(shí)現(xiàn)邏輯編譯、邏輯化簡、邏輯分割、邏輯綜合及優(yōu)化,邏輯布局布線、邏輯仿真 等功能 。 因?yàn)?它是一門 才逐漸 發(fā)展起來的新 型 技術(shù),涉及 方 面 非常 廣 泛 ,內(nèi)容 十分 豐富,理解 也有 所不同 ,所以 如今還沒有 一個(gè) 準(zhǔn)確 的定義。 表 22 信號(hào)與變量賦值語句功能的比較表 信號(hào) SIGNAL 變量 VARIABLE 基本用法 用做電路內(nèi)的信號(hào)連線 用作進(jìn) 程中局部數(shù)據(jù)存儲(chǔ)單元 適用范圍 適用于整個(gè)結(jié)構(gòu)體內(nèi)的任何地方 只能在所定義的進(jìn)程中使用 行為特性 在進(jìn)程的最后才對(duì)信號(hào)賦值 立即賦值 定義信號(hào) SIGNAL 信號(hào)名: 數(shù)據(jù)類型 := 初始值 ; 如 signal data: std_logic_vector(7 downto 0)。 then clkcount=clkcount+1。event and clk=39。 2) IF 條件句 THEN 順序語句 ELSE 順序語句 END IF 。 end case。hexd=00000。led_sta=s0。amp。hexd=39。led_sta=s2。amp。hexd=39。led_sta=s1。amp。hexd=39。 順序語句 ; ... WHEN OTHERS = 順序 語句 。 順序語句 ; WHEN 選擇值或標(biāo)識(shí)符 = 順序語句 。 進(jìn) 程的特點(diǎn) PROCESS 為一無限循環(huán)語句 ; PROCESS 中的順序語句具有明顯的順序 /并行運(yùn)行雙重性 ; 進(jìn)程必須由敏感信號(hào)的變化來啟動(dòng) ; 進(jìn)程語句本身是并行語句; 信號(hào)是多個(gè)進(jìn)程間的通信線 ; 一個(gè)進(jìn)程中只允許描述對(duì)應(yīng)于一個(gè)時(shí)鐘信號(hào)的同步時(shí)序邏輯; 語句 CASE 表達(dá)式 IS 天津科技大學(xué) 2020屆 本科生 畢業(yè)論文 11 WHEN 選擇值或標(biāo)識(shí)符 = 順序語句 。 進(jìn)程說明部分可以包含數(shù)據(jù)類型、常數(shù)、變量、屬性、子程序 [15]。 qclk=clkcount(3)。 then clkcount=clkcount+1。event and clk=39。 進(jìn)程語句結(jié)構(gòu) [進(jìn)程標(biāo)號(hào) : ] PROCESS [ ( 敏感信號(hào)參數(shù)表 ) ] [IS] [進(jìn)程說明部分 ] BEGIN 順序描述語句 END PROCESS [進(jìn)程標(biāo)號(hào) ]。 q :out std_logic_vector(7 downto 0) )。 單的賦值語句 賦值目標(biāo) ?= 表達(dá)式 如 scan=111 例化名 :元件名 PORT MAP( 端口名 = 連接端口名, ...) ; 如 u0: lpm_rom0 port map ( address=address_l, clock=qclk, q=data_l(15 downto 8)) 天津科技大學(xué) 2020屆 本科生 畢業(yè)論文 10 COMPONENT 元件名 IS PORT (端口名表 ); END COMPONENT 元件名; 如 ponent lpm_rom0 port ( address :in std_logic_vector(5 downto 0)。 type state is (s0, s1, s2, s3)。 scan : out STD_lOGIC_VECTOR (2 downto 0)。 wr, rd, cs : out STD_lOGIC。 int : in STD_lOGIC。 { 端口名 : 端口模式 數(shù)據(jù)類型 } ) 。 ARCHITECTURE 結(jié)構(gòu)體名 OF 實(shí)體名 IS [說明語句 ] BEGIN [功能描述語句 ] END ARCHITECTURE 結(jié)構(gòu)體名 。 dato : out STD_lOGIC_VECTOR (7 downto 0))。 reset : in STD_lOGIC。 天津科技大學(xué) 2020屆 本科生 畢業(yè)論文 9 dati : in STD_lOGIC_VECTOR (7 downto 0)。 以本設(shè)計(jì)中的程序?yàn)槔? entity volmeter is port ( clk : in STD_lOGIC。 ENTITY 實(shí)體名 IS [PORT ( 端口表 )。 USE 。 設(shè)計(jì)中用到的語言結(jié)構(gòu) LIBRARY IEEE。 ⑥ VHDL 語言 具有 標(biāo)準(zhǔn)、規(guī)范,易于共享和復(fù)用 的特點(diǎn) : VHDL 語言的語法 標(biāo)準(zhǔn)、規(guī)范且可讀性非常強(qiáng) 。 ⑤ 易于ASIC 移植: VHDL 語言 高效率 的重要 表現(xiàn) 之一就是 假如 設(shè)計(jì)人員的設(shè)計(jì)是被綜合到一個(gè) CPLD 器件或 FPGA 器 件,那么就可以使設(shè)計(jì)的產(chǎn)品以最快的的速度上市。 因?yàn)?VHDL 語言是一種標(biāo)準(zhǔn)化的硬件描述語言,因 而 同一個(gè)設(shè)計(jì)的 VHDL語言描述 能 被不同的 EDA 工具 所 支持,從而使得 VHDL 語言程序的移植成為可能。這樣,設(shè)計(jì)人員就 能花費(fèi)大量的時(shí)間與精力來進(jìn)行設(shè)計(jì)的構(gòu)思。 ① 具有 強(qiáng)大的功能和靈活性 特點(diǎn) : VHDL 語言 語言結(jié)構(gòu)功能十分強(qiáng)大 , 復(fù)雜的邏輯控制可以用最簡單明確的語言來描述 。 如今 ,作為硬 件 描述語言之 一的 VHDL語言成為 EDA 工具開發(fā)商和集成電路制造商所 一致 認(rèn) 可 和推廣的標(biāo)準(zhǔn)硬件描述語言。從更高的抽象層次和系統(tǒng)描述能力上擴(kuò)展 VHDL 的內(nèi)容,加入了支持模擬描述及數(shù)/模混 合 描述的 語 言 功能 ,使其成為了一種標(biāo)準(zhǔn)的混合型的 硬件描述語言,公布了新版本的 VHDL 即 IEEE 標(biāo)準(zhǔn)的 1076—1993 版 本。 1988 年, Milstd454 規(guī)定所有為美國國防部設(shè)計(jì)的 ASIC 產(chǎn)品 一定要 采用 VHDL 來進(jìn)行描述。 硬件描述語言 VHDL VHDL 語言簡介 VHSIC(Very—High—Speed—Integrated—Circuit)HardwareDescription Language,是由美國 的 國防部提出的一種新 型 的 HDL, 產(chǎn)生 于 1981 年,其目標(biāo)是一個(gè)使電路文本 使他人理解 的一種標(biāo)準(zhǔn), 其主要功能是為了讓他人所理解采用文本描述的設(shè)計(jì) ,同時(shí)作為模型語言, 可以 采用軟件 來 進(jìn)行模擬 操作 。 使用 ISE 進(jìn)行 FPGA 設(shè)計(jì)的各個(gè)過程可能涉及到的設(shè)計(jì)工具如表 21 所示。 實(shí)現(xiàn): 這個(gè) 功能包括了翻譯、映射、布局布線等 幾部分 ,還具備 了 時(shí)序分析、管腳指定以及增量設(shè)計(jì)等高級(jí)功能。 綜合: ISE 的綜合工具不 僅 包含了 XILINX 自身提供的綜合工具 XST, 與此同時(shí) 還內(nèi)嵌 了 Mentor Graphics 公司的 Leonardo Spectrum 和 Synplicity 公司的 Synplify,實(shí)現(xiàn)無縫鏈接。 ISE 的功能 主要分為 設(shè)計(jì)輸入、綜合、仿真、實(shí)現(xiàn)和下載 幾個(gè)部分 ,涵蓋了 FPGA 開發(fā)的全過程,從功能上講,其工作流程 不 需借助任何 的 第三方 EDA 軟件。 軟件界面 圖 21 軟件界面 圖 21sources 窗口中可以查看所用的芯片型號(hào)類型,頂層文件,還可以通過點(diǎn)擊右鍵來創(chuàng)建新的文件類型如仿真波形或是 IP 核等, sources 的類型有三種分別為 Implementation、 behavioral simulation、 postroute simulation; process 窗口可以實(shí)現(xiàn)綜合、編輯管教約束文件、下載 bit 文件、下載等功能。 Foundation Series ISE 界面清晰、簡單且易操作 ,再加上 XILINX 的 FPGA 芯片 在市場上占有很大的市場 , 因此成為市場上最通用的 FPGA 工具軟件也理所當(dāng)然 。 天津科技大學(xué) 2020屆 本科生 畢業(yè)論文 4 2 系統(tǒng)的軟件開發(fā)環(huán)境 Xilinx 公司的 ISE 工具軟件 XILINX 公司 是全 世界 領(lǐng)先的 能都同 可編程邏輯完整解決方案的 廠家 , 同時(shí)也 研發(fā)、制造并銷售應(yīng)用范圍 十分 廣泛的 軟件設(shè)計(jì)工具、高級(jí)集成電路還有 定義系統(tǒng)級(jí)功能的 IP(Intellectual Property)核, 從前到現(xiàn)在,一直為 FPGA 技術(shù)的發(fā)展做出了巨大的貢獻(xiàn) 。 如今 ,智能 化儀 表發(fā)展 的非常迅猛 , 在智能儀表中尤其以微處理式電壓表所占的百分比最多 [4]。由于 帶有 存儲(chǔ)器 并使用 相關(guān)的 軟件, 因此 可 以對(duì) 信息 進(jìn)行 處理, 也可以 通過標(biāo)準(zhǔn)接口組成自動(dòng)測試系統(tǒng) (也稱之 為 ATS)。 在 60 年代 的 末期,電子技術(shù)和工藝結(jié)構(gòu) 的發(fā)展都有了標(biāo)志性的成就,此時(shí)計(jì)算機(jī)技術(shù)與大 規(guī)模的集成電路 (LSI)相結(jié)合的產(chǎn)物微處理器也應(yīng)運(yùn)而生。隨著精密電測量準(zhǔn)確度要求的越來越高 ,電壓表 的測量精度也在不斷的提高,測量位數(shù)的范圍也在不斷的拓展 , 因此出現(xiàn)了一種以此為基礎(chǔ)的復(fù)合型原理的新型儀表。 從50 到 60 年代 的 中期,電壓表 通過 運(yùn)用各種原理 來 實(shí)現(xiàn) 模擬量與數(shù)字量之間的變換 , 就是通過將 模擬量轉(zhuǎn)化成數(shù)字量 來 實(shí)現(xiàn)測量儀表的數(shù)字化。電壓表的體積和功耗 變得 越來越小,重量 也在 不斷 地 減輕,價(jià)格也 在 漸漸地 下降,可靠性 也 越來越高,量程范圍 越來越大 [19]。 1952 年,美國 的 NLS 公司 第一個(gè)發(fā)明了 四位 的 數(shù)字電壓表, 從以前到現(xiàn)在的的 幾十年中一直在進(jìn)行不斷的革新與改進(jìn)使其功能日趨完善 。 數(shù)字式儀表是 一種 可以把 連續(xù)的被測量自動(dòng)地變成 不連續(xù) 的、用數(shù)字編碼方式 表示 的、并以十進(jìn)制數(shù)字 的形式 自動(dòng)顯示測量結(jié)果的測量儀表。 國內(nèi)外研究概況及應(yīng)用前景 科學(xué)技術(shù)的 快速 發(fā)展為測量 儀表 、儀 器的出現(xiàn)提供了可能性,并且為此提供了 新 的 原理和新 的 技術(shù) 支持, 新型的元、器體 也應(yīng)運(yùn)而生 , 與此 同時(shí)對(duì)測量儀表的 要求 有了更高更新的要求 。由于其計(jì)數(shù)頻率顯示在 LCD 屏上,F(xiàn)PGA 可以成為一種高度移動(dòng)的便攜檢測儀 [20]。這個(gè)系統(tǒng)可以對(duì)脈寬為 110和 3GHz 的 瞬態(tài)信號(hào)進(jìn)行測量和計(jì)數(shù)。最近成功研制了一種高速數(shù)據(jù)采集系統(tǒng),這種系統(tǒng)將 FPGA 與 AD 轉(zhuǎn)換器相結(jié)合, AD 轉(zhuǎn)換器的型號(hào)為ADC083000RB,具有 8 位雙 LVDS 分辨率 3GS/s,采樣頻率為 3GHz 帶寬,用于對(duì)超高速瞬態(tài)信號(hào)進(jìn)行計(jì)數(shù)。 由于在單片機(jī)系統(tǒng)中必須使用許多獨(dú)立元件連接成整體的外圍電路 , 這就使得 整個(gè)系統(tǒng) 變 得復(fù)雜 度高 , 抗干擾能力差, 可靠性較低,而且功耗高。輸入信號(hào)經(jīng) A/ D 轉(zhuǎn)換后送到單片機(jī)進(jìn)行天津科技大學(xué) 2020屆 本科生 畢業(yè)論文 2 數(shù)據(jù)處理,根據(jù)電壓信號(hào) 的不同 計(jì)算出 相應(yīng)的 數(shù)值, 并將結(jié)果顯示出來 。智能 化的數(shù)字儀器 的普及也是未來發(fā)展的必然方向 ,它們將不僅能 將 測量準(zhǔn)確度 提高 ,而且能 完善 電測量技術(shù)的自動(dòng)化程序, 以此為基礎(chǔ)可以對(duì) 各種通用數(shù)字儀表、專用數(shù)字儀表及各種非電量的數(shù)字化儀表 (如:溫度計(jì)、濕度計(jì)、酸度計(jì)、重量、厚度儀等 ),幾乎覆蓋了電子電工測量、工業(yè)測量、自動(dòng)化儀表等各個(gè)
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1