freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda技術(shù)在航空設(shè)備綜合智能檢測儀中的應(yīng)用(參考版)

2024-07-31 10:54本頁面
  

【正文】 參考文獻(xiàn) 參考文獻(xiàn) 【 1】 潘松,黃繼業(yè) EDA 技術(shù)使用教程(第三版) 科學(xué)出版社 20xx 【 2】 鄔楊波 王曙光,胡建平 有限狀態(tài)機(jī) VHDL 設(shè)計(jì)及優(yōu)化信息技術(shù) 20xx(01) 【 3】 譚會生 瞿遂春 EDA 技術(shù)綜合應(yīng)用實(shí)例與分析 20xx: 112~ 156 24 【 4】 唐瑜 符興呂,羅江 用 VHDL 語壽實(shí)現(xiàn)序列信號的產(chǎn)生和檢測 20xx(09) 【 5】 曾繁泰 陳美金 VHDL 程序設(shè)計(jì) 20xx: 56~ 120 【 6】 張先永 CPLD 在航空設(shè)備綜合智能檢測儀中的應(yīng)用 大眾科技 20xx NO5 【 7】 《現(xiàn)代電子技術(shù)》 20xx 年第一期總第 312 期 【 8】 黎美 劉篤仁 基于 USB 的接口芯片 ISP1581 的應(yīng)用與設(shè)計(jì)設(shè)計(jì) [J].中國集成電路, 20xx(7): 55— 60. 【 9】 方加喜 ,徐善鋒 ,李玉山 .通用串行總線原理及其外設(shè)接口設(shè)計(jì) [J].半導(dǎo)體技術(shù) ,20xx,27(11):3338. 【 10】 ASHENDEN P 設(shè)計(jì)指南 [M].北京:機(jī)械工業(yè)出版社, 20xx 【 11】 周立功等 .SOPC 嵌入式系統(tǒng)基礎(chǔ)教程 [M]。實(shí)驗(yàn)過程中我認(rèn)為技術(shù)的難點(diǎn)和學(xué)習(xí)最費(fèi)時的地方在于 VHDL語言。 當(dāng)有一串?dāng)?shù)據(jù)輸入,通過選擇相應(yīng)的開關(guān),通過燈的亮滅情況觀察數(shù)據(jù)輸出結(jié)果是否符合要求。 硬件組裝測試 根據(jù)原理功能框圖和設(shè)計(jì)要求,我們用 16 個 LED 燈進(jìn)行輸出顯示,配合時鐘使能控制,通過 4 個開關(guān),分別按要求選擇需要的四位、八位、十六位數(shù)據(jù)的串并轉(zhuǎn)換。比如 din4_1 同時輸入 1001,則 dout 依次, din1_4 依次輸入 0101,則 dout1_4 同時輸出 0101。由圖 482可知:輸入端有一個時鐘信號 CLK,用來控制數(shù)據(jù)的輸入。我們設(shè)計(jì)的頂層原理圖如下: 圖 51 頂層模塊原理圖 22 圖 52 波形仿真 5. 2 仿真波形的分析 分析:由上面的仿真波形可以看出。 end rtl。 end case。 21 when 10=y=bh。 process(sel) begin case sel is when 00=y=ah。 architecture rtl of mux12_4 is signal sel:std_logic_vector(1 downto 0)。 y: out std_logic_vector(3 downto 0))。 entity mux12_4 is port(ah,bh,din:in std_logic_vector(3 downto 0)。 20 圖 462 十六位串轉(zhuǎn) 并波形 分頻器的設(shè)計(jì) 分頻器的使用是在數(shù)據(jù)并行轉(zhuǎn)串行的時候,使用分頻器是為了讓并行的數(shù)據(jù)更好的輸入,以便計(jì)算機(jī)的識別,用 VHDL 語言編寫的分頻器程序如下 (以下的例子為四分頻器 ): library ieee。 end process。 else dt6= ZZZZZZZZZZZZZZZZ。039。 end process。 end if。din6。din6。039。 then dt6=ZZZZZZZZZZZZZZZZ。 then if en66=39。event and clk=39。 architecture one of cb1_16 is signal q:std_logic_vector(16 downto 0)。 dt6:out std_logic_vector(15 downto 0))。 entity cb1_16 is port (clk,en66:in std_logic。 use 。 16 位并行輸入, 1位串行輸出仿真波形圖 輸入為 1111000011110000 圖 452 十六位并串轉(zhuǎn)波形 十六位串轉(zhuǎn)并 原理和四位串轉(zhuǎn)并基本一樣,只是將輸入的數(shù)據(jù)改成了十六位串行數(shù)據(jù)。 dt7= q(15)。 十六分頻器 數(shù)據(jù)并行輸入端口 串并轉(zhuǎn)換器 數(shù)據(jù)串行輸出端口 18 end if。 end if。 else if t = 0000 then q=din7。Z39。039。139。 process(clk) begin if clk39。 end if。139。 begin process(clk) begin if clk39。 architecture behav of c7_7 is signal t:std_logic_vector(3 downto 0)。 dt7: out std_logic)。 en77:in std_logic。 use 。實(shí)驗(yàn)框圖如下: 圖 451 十六位并轉(zhuǎn)串實(shí)驗(yàn)框圖 部分程序代碼如下: library ieee。 end。 end if。 then dt2=q(7 downto 0)。 process(q) begin if q(8)=39。 end if。 end if。 else q=q(7 downto 0)amp。 then q= 11111110amp。 else if q(8)=39。039。139。 begin process(clk) begin if clk39。 end entity。 din2:in std_logic。 use 。結(jié)構(gòu)框圖如下: 圖 441 八位串轉(zhuǎn)
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1