freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

多路模擬信號(hào)采集電路畢業(yè)論文(參考版)

2025-06-30 13:06本頁(yè)面
  

【正文】 感謝答辯委員會(huì)各位委員及評(píng)閱人的細(xì)心審閱。正是他們耐心的幫助,使我解決了許多實(shí)際問(wèn)題,有了很大收獲。特別感謝鄭燕璐師兄,本設(shè)計(jì)是在鄭燕璐師兄的悉心指導(dǎo)下完成的,在這段時(shí)間里,我從他身上不僅學(xué)到了許多的專業(yè)知識(shí),更感受到了他在工作中的兢兢業(yè)業(yè),生活中的平易近人,他嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度和忘我的工作精神值得我去學(xué)習(xí)。首先衷心感謝指導(dǎo)老師張會(huì)新老師。這里有治學(xué)嚴(yán)謹(jǐn)而不失親切的老師,有互相幫助的同學(xué),更有向上、融洽的學(xué)習(xí)生活氛圍,也正是通過(guò)做畢業(yè)設(shè)計(jì)使我學(xué)到了許多新的知識(shí),把理論學(xué)以致用。參 考 文 獻(xiàn)[l] 電子工業(yè)出版社,2008[2] ,2007,1[3] (FPGA),2006,6[4] 馬明建.?dāng)?shù)據(jù)采集與處理技術(shù).西安:西安交通大學(xué)出版社,2005[5] [M].北京:高等教育出版社,2002[6] 李超,王虹現(xiàn), 存儲(chǔ)系統(tǒng)設(shè)計(jì)[J].,36:12[7] [J]., 29(3):12[8] 劉文怡,張彥軍,[J].電測(cè)與儀表. 2008, 45(505):13[9] [D].太原:中北大學(xué)碩士學(xué)位論文,2006[10] [J]., 23(11):1[11][12].[13]ADS8402 SLAS154B – DECEMBER 2002 – REVISED MAY 2003[14] [15]秦麗,何慧珠,.致 謝 尊敬的老師,親愛(ài)的同學(xué)們,再過(guò)幾天就要離開(kāi)陪伴我們四年時(shí)光的校園,走向社會(huì)。end process。039。039。039。139。039。039。039。139。039。039。039。139。end kaiguan。 sen : out std_logic。ENTITY kaiguan IS PORT( s : in std_logic_vector(2 downto 0)。use ??刂崎_(kāi)關(guān)library IEEE。 end process p7。 end if。 then if count=46 then d_addra=d_addra+1。 event and fosc=39。 then d_addra=0000000000 。write fifo data p7: process(fosc, glrn) begin if glrn=39。 end if。139。039。039。139。 elsif fosc 39。 then d_wrfifo=39。write fifo data p6: process(fosc, glrn) begin if glrn=39。 end if。 mark=mark+1。 elsif count=80 then fifod(7 downto 4)=f_data(3 downto 0)。139。 elsif fosc 39。039。 end process p3。 end if。 end if。 d_channela8=39。 d_channela7=39。 d_channela6=39。 d_channela1=39。 then if d_channel1111 then d_channel=d_channel+1。 and d_channela8=39。 and d_channela7=39。 and d_channela6=39。 elsif d_channela1=39。139。039。039。039。 elsif d_channel=1111 then d_channel=0000。039。139。039。039。 end if。 d_channela8=39。 d_channela7=39。 d_channela6=39。 d_channela1=39。 then if d_channel1111 then d_channel=d_channel+1。 and d_channela8=39。 and d_channela7=39。 and d_channela6=39。 elsif d_channela1=39。039。039。139。039。 elsif d_channel=1111 then d_channel=0000。039。039。039。139。139。 elsif fosc 39。 d_channela8=39。 d_channela7=39。 d_channela6=39。 d_channela1=39。039。 channela8=d_channela8。 channela6=d_channela6。produce channel convert channel=d_channel。 end if。139。039。139。 elsif fosc 39。 then clk=39。produce AD start p2: process(fosc, glrn) begin if glrn=39。 end if。 else count=count+1。139。 elsif fosc 39。139。039。 wrfifo=d_wrfifo。 begin f_data=data。 signal d_channela7 : std_logic。 signal d_channela1 : std_logic。 signal d_addra : std_logic_vector(9 downto 0)。 signal mark : std_logic_vector(3 downto 0)。architecture Behavioral of advhd is signal count : std_logic_vector(6 downto 0)。 data : in std_logic_vector(15 downto 0) )。 addra : out std_logic_vector(9 downto 0)。 channela7 : out std_logic。 channela1 : out std_logic。 wrfifo : out std_logic。 start : in std_logic。entity advhd isport(glrn : in std_logic。use 。 附錄A 系統(tǒng)原理圖附錄B 系統(tǒng)PCB版圖附錄C 系統(tǒng)VHDL程序模擬量采集library IEEE。首先介紹了數(shù)據(jù)采集系統(tǒng)的特點(diǎn)及發(fā)展情況,并根據(jù)課題的實(shí)際要求提出了總體設(shè)計(jì)方案和原理框圖;接著按照整體結(jié)構(gòu)圖,設(shè)計(jì)每一部分的硬件電路圖,再用VHDL程序進(jìn)行軟件設(shè)計(jì)并仿真;最后通過(guò)對(duì)實(shí)物的調(diào)試得出結(jié)論:該系統(tǒng)能對(duì)采樣16路模擬信號(hào)。當(dāng)上述輔助電路完成后,開(kāi)始使用示波器逐個(gè)觀察其輸出的控制信號(hào)是否與仿真時(shí)序一致??刂颇K的調(diào)試。該部分的調(diào)試主要看A/D轉(zhuǎn)換后的數(shù)字量對(duì)應(yīng)的模擬量的大小是否與輸入的模擬量相同。對(duì)于不同采樣頻率的信號(hào)看開(kāi)關(guān)的波形是否符合設(shè)計(jì)要求。 調(diào)試中遇到的問(wèn)題通道選擇電路的調(diào)試。如果壞塊標(biāo)志是非0XFF 時(shí),該塊是壞塊則跳過(guò),繼續(xù)檢測(cè)下一塊;如果壞塊標(biāo)志是0XFF 時(shí),則讀取FIFO 中的數(shù)據(jù),寫入Flash 中。:開(kāi)始設(shè)置塊地址=0地址自增檢查是否等于0XFF?否Y寫入壞塊標(biāo)志擦除Y否I/O0=0?Y否檢查是否是最后一塊?Y檢測(cè)結(jié)束 擦除模塊程序流程多通道同步數(shù)據(jù)采集后, 數(shù)據(jù)以一定的幀格式寫入Flash。 FLASH的擦除問(wèn)題在調(diào)試中遇到的主要問(wèn)題還有:當(dāng)系統(tǒng)上電后,F(xiàn)PGA 主控模塊首先對(duì)Flash 進(jìn)行擦除操作。電壓跟隨器的輸入阻抗高、輸出阻抗低特點(diǎn),可以理解為,當(dāng)輸入阻抗很高時(shí),就相當(dāng)于對(duì)前級(jí)電路開(kāi)路;當(dāng)輸出阻抗很低時(shí),對(duì)后級(jí)電路就相當(dāng)于一個(gè)恒壓源,即輸出電壓不受后級(jí)電路阻抗影響。為使輸入A/D的信號(hào)相對(duì)穩(wěn)定,在分壓電路的前后各設(shè)置一個(gè)電壓跟隨電路。~,而模擬開(kāi)關(guān)的最大輸出電壓為5V,所以應(yīng)對(duì)其分壓。其次,對(duì)于16路緩變信號(hào),, ,共16路。如果是低于5V,那么電壓將不改變。然后輸入模擬開(kāi)關(guān)經(jīng)過(guò)跟隨器后,再輸入A/D轉(zhuǎn)換器。FLASH存儲(chǔ)的具體流程圖為:開(kāi)始.否采樣一次數(shù)據(jù)(16路信號(hào))存儲(chǔ)一次數(shù)據(jù)(16路信號(hào))采樣時(shí)間到?否結(jié)束 本章小結(jié)本章通過(guò)對(duì)所有模塊進(jìn)行軟件設(shè)計(jì),并進(jìn)行時(shí)序仿真,通過(guò)仿真時(shí)序圖,可以看出,電路時(shí)序滿足系統(tǒng)數(shù)據(jù)采集并存儲(chǔ)的要求。相關(guān)時(shí)序圖如下: FLASH擦除操作時(shí)序圖在本系統(tǒng)中,采用FLASH來(lái)進(jìn)行數(shù)據(jù)的存儲(chǔ)。FLASH 數(shù)據(jù)的擦除是按塊擦除的, 所以它的特點(diǎn)是擦除速度快, 一般在幾秒鐘內(nèi)就完成了。這時(shí)并口可直接對(duì)FLASH 的控制線和數(shù)據(jù)線進(jìn)行操作。因?yàn)閿?shù)據(jù)存儲(chǔ)量大, 我們采取了并口主動(dòng)讀取的方法, 消除了并口從動(dòng)讀取速度慢的問(wèn)題, 采用EPP 模式, 讀取數(shù)據(jù)速度能達(dá)到500K—2Mb/s
點(diǎn)擊復(fù)制文檔內(nèi)容
法律信息相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1