freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于matlab16階fir低通濾波器的設(shè)計-資料下載頁

2025-08-07 11:55本頁面
  

【正文】 tor(11 downto 0)。begin下面的語句是把高四位輸出和低四位輸出拼起來m0=LUT2(ADD0(7 downto 4))。m00=LUT1(ADD0(3 downto 0))。m1=LUT2(ADD1(7 downto 4))。m11=LUT1(ADD1(3 downto 0))。m2=LUT2(ADD2(7 downto 4))。m22=LUT1(ADD2(3 downto 0))。m3=LUT2(ADD3(7 downto 4))。m33=LUT1(ADD3(3 downto 0))。m4=LUT2(ADD4(7 downto 4))。m44=LUT1(ADD4(3 downto 0))。m5=LUT2(ADD5(7 downto 4))。m55=LUT1(ADD5(3 downto 0))。m6=LUT2(ADD6(7 downto 4))。m66=LUT1(ADD6(3 downto 0))。m7=LUT2(ADD7(7 downto 4))。m77=LUT1(ADD7(3 downto 0))。m8=LUT2BU(ADD8(7 downto 4))。m88=LUT1BU(ADD8(3 downto 0))。process(clk)beginif clk39。event and clk=39。139。then f0=(m0(11)amp。m0)+(m00(11)amp。m00)。f1=(m1(11)amp。m1)+(m11(11)amp。m11)。 f2=(m2(11)amp。m2)+(m22(11)amp。m22)。f3=(m3(11)amp。m3)+(m33(11)amp。m33)。 f4=(m4(11)amp。m4)+(m44(11)amp。m44)。f5=(m5(11)amp。m5)+(m55(11)amp。m55)。 f6=(m6(11)amp。m6)+(m66(11)amp。m66)。f7=(m7(11)amp。m7)+(m77(11)amp。m77)。 f8=(m8(11)amp。m8)+(m88(11)amp。m88)。end if。end process。end arc。低四位補碼library ieee。use 。package FIR_ROM2 is程序包function LUT1BU(y:in std_logic_vector(3 downto 0))函數(shù)體 return std_logic_vector。end FIR_ROM2。package body FIR_ROM2 isfunction LUT1BU(y:in std_logic_vector(3 downto 0)) return std_logic_vector isvariable m: std_logic_vector(11 downto 0)。begincase y iswhen 0000=m:=x000。when 0001=m:=xff7。when 0010=m:=x007。when 0011=m:=xffe。when 0100=m:=x020。when 0101=m:=x017。when 0110=m:=x027。when 0111=m:=x01e。when 1000=m:=xfc4。when 1001=m:=xfbb。when 1010=m:=xfcb。when 1011=m:=xfc2。when 1100=m:=xfe4。when 1101=m:=xfdb。when 1110=m:=xfeb。when 1111=m:=xfe2。when others=m:=x000。end case。return m。end LUT1BU。end FIR_ROM2。高四位library ieee。use 。package FIR_ROM3 isfunction LUT2(y: in std_logic_vector(3 downto 0)) return std_logic_vector。end FIR_ROM3。package body FIR_ROM3 isfunction LUT2(y: in std_logic_vector(3 downto 0)) return std_logic_vector isvariable m: std_logic_vector(11 downto 0)。begincase y iswhen 0000=m:=x000。when 0001=m:=x023。when 0010=m:=xf2b。when 0011=m:=xf4e。when 0100=m:=x081。when 0101=m:=x0a4。when 0110=m:=xfac。when 0111=m:=xfcf。when 1000=m:=x414。when 1001=m:=x437。when 1010=m:=x33f。when 1011=m:=x362。when 1100=m:=x471。when 1101=m:=x404。when 1110=m:=x3c0。when 1111=m:=x3e3。when others=m:=x000。end case。return m。end LUT2。end FIR_ROM3。高四位補碼library ieee。use 。package FIR_ROM4 isfunction LUT2BU(y: in std_logic_vector(3 downto 0)) return std_logic_vector。end FIR_ROM4。package body FIR_ROM4 isfunction LUT2BU(y: in std_logic_vector(3 downto 0)) return std_logic_vector isvariable m: std_logic_vector(11 downto 0)。begincase y iswhen 0000=m:=x000。when 0001=m:=xf6f。when 0010=m:=xefd。when 0011=m:=xe6c。when 0100=m:=xea1。when 0101=m:=xe10。when 0110=m:=xd9e。when 0111=m:=x031。when 1000=m:=xbec。when 1001=m:=xbc9。when 1010=m:=xcc1。when 1011=m:=xc9e。when 1100=m:=xb8f。when 1101=m:=xbfc。when 1110=m:=xc40。when 1111=m:=xc1d。when others=m:=x000。end case。return m。end LUT2BU。end FIR_ROM4。四、樹狀移位寄存器VHDL代碼:library ieee。use 。use 。use 。entity ADD is port(m0,m1,m2,m3,m4,m5,m6,m7,m8: in std_logic_vector(12 downto 0)。數(shù)據(jù)輸入(由查找表輸出) clk:in std_logic。輸入時鐘 10MHz y: out std_logic_vector(23 downto 0)數(shù)據(jù)輸出)。end ADD。architecture arc of ADD issignal n0,n1,n2,n3: std_logic_vector(14 downto 0)。中間結(jié)果寄存器signal p0,p1: std_logic_vector(17 downto 0)。中間結(jié)果寄存器signal q: std_logic_vector(22 downto 0)。中間結(jié)果寄存器signal q1: std_logic_vector(23 downto 0)。中間結(jié)果寄存器begin process(clk)累加進程beginif clk39。event and clk=39。139。then n0=(m2(12)amp。m2amp。39。039。)+(m1(12)amp。m1(12)amp。m1)。 n1=(m4(12)amp。m4amp。39。039。)+(m3(12)amp。m3(12)amp。m3)。 n2=(m6(12)amp。m6amp。39。039。)+(m5(12)amp。m5(12)amp。m5)。 n3=(m8(12)amp。m8amp。39。039。)+(m7(12)amp。m7(12)amp。m7)。 p0=(n1(14)amp。n1amp。00)+(n0(14)amp。n0(14)amp。n0(14)amp。n0)。 p1=(n3(14)amp。n3amp。00)+(n2(14)amp。n2(14)amp。n2(14)amp。n2)。 q=(p1(17)amp。p1amp。0000)+(p0(17)amp。p0(17)amp。p0(17)amp。p0(17)amp。p0(17)amp。p0)。 q1=qamp。39。039。+(m0(12)amp。m0(12)amp。m0(12)amp。m0(12)amp。m0(12)amp。m0(12)amp。m0(12)amp。m0(12)amp。m0(12)amp。m0(12)amp。m0(12)amp。m0)。end if。end process。y=q1(20 downto 13)。此處輸出就是濾波器的最終計算結(jié)果。end arc。致謝課題研究工作是在老師張秀清的嚴格要求和悉心指導下完成的。課題研究方向、論文的撰寫都凝聚著老師的心血。老師淵博的知識、敏銳的洞察力、強烈的創(chuàng)新意識、嚴謹?shù)闹螌W作風都是我學習的好榜樣,他的諄諄教導使我受益終生。在此向張秀清致以崇高的敬意和誠摯的感謝!在研究工作中,得到了很多人的鼎力幫助。從研究工作之初的方案論證,到具體設(shè)計過程中的各個環(huán)節(jié),都給予了很多好的建議,幫我解決了很多的困難,使研究工作能夠順利完成。在此表示深深的感謝!同時向所有曾給予我關(guān)心和幫助的老師和同學致以最衷心的感謝。最后感謝我的家人,是你們無私的支持,讓我毫無后顧之憂的完成學業(yè)。參考文獻1 林懷蔚,費旻,:中國科技大學出版社,2007:342 趙雅興,F(xiàn)PGA原理、:天津大學出版社,1999:67 3 梁二虎,劉文怡。,2008:22234 Volnei . VHDL ,2009:89 5 雷伏容. : 清華大學出版社,2006:12136 Clive“Max” Maxfield著, 杜生海,, 2007:15167 鄧重一,2004:3537 8 劉凌,:清華大學出版社,2006:43459 鄭風濤,陳金佳,2003,總38期 10 單琳娜,李帥,, 2005:(02)11 Stellaris LM3S9B96 Microcontroller Data :3512 李虎,羅豐,13 J. Louzao, S. Paz, D. Tejera et al. Architectural design of a programmable cell for the implementation of a filter bank on FPGA[J]. Microelectronics amp。 Reliability,2004,4(44):68369514 ShiannShiun JENG, ShuMing CHANG, BorShuh Implementation of FIR Filter Using 2Bit Parallel Distributed Arithmetic[J].IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences,2004,5(E87A):1280128215 曾繁泰,陳美金,:清華大學出版社,2000:3536 16 王金明,,電視技術(shù),2003:232417 侯伯亨,:電子科技大學出版社,1999:121318 FIR Compiler User Guide Altera Corporation December 2006 :2719 Uwe MeyerBaese著,:清華大學出版社,200720 凌朝東,劉蓉,(自然科學版),2001,(01)內(nèi)部資料,請勿外傳!9JWKffwvGtYM*Jgamp。6a*CZ7H$dq8KqqfHVZFedswSyXTyamp。QA9wkxFyeQ^!djsXuyUP2kNXpRWXmAamp。UE9aQ@Gn8xp$Ramp。849Gx^Gjqv^$UE9wEwZQc@UE%amp。qYp@Eh5pDx2zVkumamp。gTXRm6X4NGpP$vSTTamp。ksv*3tnGK8!z89AmYWpazadNuKNamp。MuWFA5uxY7JnD6YWRrWwc^vR9CpbK!zn%Mz849Gx^Gjqv^$UE9wEwZQc@UE%amp。qYp@Eh5pDx2zVkumamp。gTXRm6X4NGpP$vSTTamp。ksv
點擊復制文檔內(nèi)容
化學相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1