freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

數(shù)字電路課程設(shè)計(jì)--數(shù)字鐘的設(shè)計(jì)與實(shí)現(xiàn)(留存版)

  

【正文】 鐘 VHDL 文本 .................................. ...... ...... 3 八、總結(jié) ................................................ ...... .....11 2 一、 引言 數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對(duì)時(shí)、分、秒,數(shù)字顯示的計(jì)時(shí)裝置,廣泛用于個(gè)人家庭,車站,碼頭、辦公室等公共場(chǎng)所,成為 人們?nèi)粘I钪胁豢缮俚谋匦杵?,由于?shù)字集成電路的發(fā)展和石英振蕩器的廣泛應(yīng)用,使得數(shù)字鐘的精度遠(yuǎn)遠(yuǎn)超過(guò)老式鐘表,鐘表的數(shù)字化給人們生產(chǎn)生活帶來(lái)了極大地方便,而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。 模塊說(shuō)明 : 各種進(jìn)制的計(jì)數(shù)及時(shí)鐘控制模塊( 10 進(jìn)制、 6 進(jìn)制、 24 進(jìn)制) 。 CLK, 對(duì)應(yīng) IO3。 enmin:OUT STD_LOGIC)。 hour:in std_logic_vector(5 downto 0)。d=ledout(3)。 daout:out std_logic_vector(6 downto 0))。 else count=count+7。 ENTITY minute IS PORT( clk,clk1,reset,sethour:IN STD_LOGIC。139。 use 。 elsif(count 1623) then count = count + 1。 ARCHITECTURE fun OF seltime IS SIGNAL count: STD_LOGIC_vector ( 2 downto 0)。 when 010 = daout = min (3 downto 0)。讓我懂得了做事要有鍥而不舍的精神,朝著自己的目標(biāo)奮斗,堅(jiān)持不懈 ,以后我要腳踏實(shí)地一步一個(gè)腳印的去學(xué)習(xí)理論與實(shí)踐,多動(dòng)手,多思考,多反思。 case count is when 000 = daout = sec(3 downto 0)。 hour : in std_logic_vector (5 downto 0)。139。 end if。 elsif(clk39。 END fun。139。 use 。 signal ledout:std_logic_vector(6 downto 0)。 speak:OUT STD_LOGIC)。 a,b,c,d,e,f,g,dpout:out std_logic)。 2. 代表花樣 LED燈顯示的信號(hào)管腳 LAMP0…LAMP2 已經(jīng)同 3 個(gè) LED燈相連。 6. 掌握 CPLD 技術(shù)的層次化設(shè)計(jì)方法。 2.具有清零,調(diào)節(jié)小時(shí)、分鐘 功能。 2.具有驅(qū)動(dòng) 8位八段共陰掃描數(shù)碼管的片選驅(qū)動(dòng)信號(hào)輸出和八段字形譯碼輸出,編碼和掃描部分可參照前面實(shí)驗(yàn)??上蠕浫氲讓游募?,把某個(gè)底層文件設(shè)為當(dāng)時(shí)的頂層,保存、編譯、仿真、退出;再錄入另一個(gè)底層文件;這樣把所有底層文件一一錄入;最后錄入頂層文件,并保存、編譯、仿真,再下載頂層文件到器件實(shí)現(xiàn)硬件功能。 END COMPONENT。COMPONENT deled PORT( num:IN STD_LOGIC_vector(3 downto 0)。 u2:minute port map(clk=enmin_re, clk1=clk, reset=reset, sethour=sethour, enhour=enhour_re, daout=minute_daout)。 enmin_2=(enmin_1 or enmin_2)。 enmin_1=39。 SIGNAL enhour_1,enhour_2:STD_LOGIC。 end if。 ARCHITECTURE fun OF hour IS SIGNAL count: STD_LOGIC_VECTOR( 5 downto 0)。 END fun。 elsif (clk1 39。 when others = daout(3 downto 2) = 00。 end case。139。 use 。 process ( clk,reset) begin if (reset=39。 enhour_1=39。 enhour_2=(sethour and clk1)。after 100 ns。 process(clk,reset,setmin)
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1