freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

硬件課程設(shè)計--數(shù)字時鐘設(shè)計(留存版)

2025-08-04 06:52上一頁面

下一頁面
  

【正文】 1101101 when num= 0010 else 1111001 when num= 0011 else 0110011 when num= 0100 else 1011011 when num= 0101 else 1011111 when num= 0110 else 1110000 when num= 0111 else 1111111 when num= 1000 else 1111011 when num= 1001 else 1110111 when num= 1010 else 0011111 when num= 1011 else 1001110 when num= 1100 else 0111101 when num= 1101 else 1001111 when num= 1110 else 1000111 when num= 1111 。 else count=000000。 時計時器 ( hour) 在時計時器的 clkh 輸入一個周期為 5ns 的時鐘信號;清 0 端( reset)前面一小段( 100ns)為低電平,后面均為高電平;置數(shù)端( set) 前面一小段 ( 200ns)為低電平,后面均為高電平;時重置端( h1)可設(shè)置數(shù)值為 20 時,保存波形圖,進行仿真,產(chǎn)生如下波形: 圖 33 時計時器信號 由上述波形可以清楚的看到:當(dāng)清 0 信號( reset)無效時,時計時器置數(shù),從20 時開始計數(shù),到 23 時回到 0,并且從 enhour 輸出一個高電平。139。 ENTITY minute IS PORT( clk, clk1,reset,sethour : IN STD_LOGIC。 end if。 ARCHITECTURE fun OF second IS SIGNAL count: STD_LOGIC_VECTOR( 6 downto 0)。其中計時模塊有 3 部分構(gòu)成:秒計時器( second1)、分計時器 (minute1)、時計時器(hour1)。 CPLD 技術(shù)的層次化設(shè)計方法。 高效的仿真工具 。為了保證高速系統(tǒng)的穩(wěn)定性,可編程邏輯器件的延時可預(yù)測性是十分重要的。它們的基本設(shè)計方法是借助于 EDA 軟件,用原理圖、狀態(tài)機、布爾表達(dá)式、硬件描述語言等方法,生成相應(yīng)的目標(biāo)文件,最后用編程器或下載電纜,由目標(biāo)器件實現(xiàn)。在科研方面:主要利用電路仿真工具 (EwB 或東北石油大學(xué)本科生課程設(shè)計(論文) 2 PSPICE、 VLOL 等 )進行電路設(shè)計與仿真;利用虛擬儀器進行產(chǎn)品調(diào)試;將 O)LI)/ FPGA 器件的開發(fā)應(yīng)用到儀器設(shè)備中。 關(guān)鍵詞 : EDA( 電子設(shè)計自動化 ); VHDL(硬件描述語言), 數(shù)字鐘 。 完成期限 2 周 指導(dǎo)教師 梁吉勝 專業(yè)負(fù)責(zé)人 富宇 2021 年 6 月 10 日 東北石油大學(xué)課程設(shè)計成績評價表 課程名稱 硬件 課程設(shè)計 題目名稱 數(shù)字鐘設(shè)計 學(xué)生姓名 學(xué)號 指導(dǎo)教師姓名 梁吉勝 職稱 講師 序號 評價項目 指 標(biāo)(優(yōu)秀) 滿分 評分 1 選題難度 選題難度較高,或者對原題目進行了相當(dāng)程度的改進。 ,整點報時的同時 LED 燈花樣顯示。 本文設(shè)計主要利用 VHDL 語言在 EDA 平臺上設(shè)計一個電子數(shù)字鐘,它的計時周期為 24 小時,顯示滿刻度為 23 時 59 分 59 秒,另外還具有校時功能和鬧鐘功能。在教學(xué)方面:幾乎所有理工科 (特別是電子信息 )類的高校都開設(shè)了EDA 課程。 實驗開發(fā)系統(tǒng) : EDAV。它不僅使電 子系統(tǒng)的設(shè)計和產(chǎn)品性能的改進和擴充變得十分簡便,還使新東北石油大學(xué)本科生課程設(shè)計(論文) 4 一代電子系統(tǒng)具有極強的靈活性和適應(yīng)性,為許多復(fù)雜信號的處理和信息加工的實現(xiàn)提供了新的思路和方法。但是,由于物理量本身多以模擬形式存在,實現(xiàn)高性能復(fù)雜電子系統(tǒng)的設(shè)計必然離不開模擬信號。 ,及編碼。 東北石油大學(xué)本科生課程設(shè)計(論文) 7 4. 8 位八段掃 描共陰級數(shù)碼顯示管。 enmin : OUT STD_LOGIC。139。 分計時器 ( VHDL 語言編譯) 其代碼 ( VHDL 語言)如下: LIBRARY ieee。 event and clk=39。 end process。 event and clk=39。 ENTITY deled IS 東北石油大學(xué)本科生課程設(shè)計(論文) 14 PORT(num: IN std_logic_vector( 3 downto 0)。 BEGIN speaker:process (clk) begin speak = count1(1)。 end if。 process ( clk1,reset) begin if (reset =39。039。 a,b,c,d,e,f,g,dp: out std_logic)。 speak: OUT STD_LOGIC)。 ************************************************* begin a=ledout(0)。 東北石油大學(xué)本科生課程設(shè)計(論文) 21 第 5 章 數(shù)字鐘的測試 與運行 數(shù)字鐘的調(diào)試 在秒計時器的 clk 輸入一個周期為 5ns 的時鐘信號;清 0 端 ( reset)前面一小段( 40ns)為低電平,后面均為高電平;置數(shù)端( set)前面一小段( 60ns) 為低電平,后面均為高電平;秒重置端( s1)可設(shè)置數(shù)值為 50 秒,分重置端( m1)可設(shè)置數(shù)值為 57 分 , 時重置端( h1)可設(shè)置數(shù)值為 23 時;保存波形圖,進行仿真,產(chǎn)生如下波形: 圖 51 秒計時器波形圖 由上述波形可以清楚的看到:當(dāng) reset 為 0 時,數(shù)字鐘清 0;當(dāng) set 為 1 時,數(shù)字鐘置數(shù),其值為 23 時、 57 分、 50 秒。 我們的主要學(xué)習(xí)任務(wù)是八位全加器原理圖的繪制以及其功能仿真。 u2:minute port map( clk =enmin_re, clk1 =clk, reset =reset, sethour =sethour, enhour =enhour_re, daout =minute_daout)。 END COMPONENT。 ************************************************* hour counter COMPONENT hour PORT(clk, reset: IN STD_LOGIC。 頂層文件程序 代碼如下: library ieee。 case count is when 000 = daout = sec(3 downto 0)。 hour : in std_logic_vector (5 downto 0)。 lamper:process(clk) begin if (rising_edge(clk))then if (count = 10) then if (count =00) then lamp = 001 。 dain : IN STD_LOGIC_VECTOR(6 DOWNTO 0)。 END fun。 ARCHITECTURE fun OF hour IS SIGNAL count: STD_LOGIC_VECTOR( 5 downto 0)。 enhour=39。039。 東北石油大學(xué)本科生課程設(shè)計(論文) 10 else count=0000000。039。 7. 鬧鐘模塊: 在設(shè)定鬧鐘鬧鈴時間后,當(dāng)鬧鐘使能端有效時,可在鬧鈴時間鬧鈴, 并有彩燈顯示。編碼和掃描可參照“實驗四”。邏輯綜合功能是將高層次系統(tǒng)行為設(shè)計自動翻譯成門級邏輯的電路描述,做到了實際與工藝的獨立。已有多家公司開展了這方面的研究,并且推出了各自的模擬與數(shù)字混合型的可編程器件,相信在未來幾年里,模擬電路及數(shù)?;旌想娐房删幊碳夹g(shù)將得到更大的發(fā)展。隨著電子系統(tǒng)復(fù)雜度的提高,高密度、高速度和寬頻帶的可編程邏輯產(chǎn)品已經(jīng)成為主流器件, [3]其規(guī)模也不斷擴大,從最初的幾百門到現(xiàn)在的上百 萬門,有些已具備了片上系統(tǒng)集成的能力。傳統(tǒng)的 “ 固定功能集成塊十連線 ” 的設(shè)計方法正逐步地退出 歷史 舞臺,而基于芯片的設(shè)計方法正成為現(xiàn)代電子系統(tǒng)設(shè)計的主流。 70 年代為計算機輔助設(shè)計( CAD) 階段,人們開始用計算機輔助進行 IC 版圖編輯、 PCB 布局布線,取代了手工操作。 +5 總分 評語: 指導(dǎo)教師: 梁吉勝 2021 年 6 月 10 日 摘 要 本文介紹了利用 EDAV 硬件系統(tǒng)和微機上的 等軟件系統(tǒng)。 東 北 石 油 大 學(xué) 課 程 設(shè) 計 2021年 7 月 10 日 課 程 硬件課程設(shè)計 題 目 數(shù)字鐘設(shè)計 院 系 計算機與信息技術(shù)學(xué)院 專業(yè)班級 學(xué)生姓名 學(xué)生學(xué)號 指導(dǎo)教師
點擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1