freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的出租車計費器設(shè)計畢業(yè)論文(留存版)

2024-10-25 15:10上一頁面

下一頁面
  

【正文】 : std_logic_vector(3 downto 0)。計費器啟動 stop : in std_logic。延遲時間 signal start_r : std_logic。 km1 = k1。 then f_wait=39。039。 else f_mile_r when en0=39。 end if。 and start_r=39。 end if。 else c2=c2+39。 use 。 signal show_reg : std_logic_vector(6 downto 0)。 end process。 amp。 sel=sel_reg。) then if(sel_reg=111) then sel_reg=000。 end display。 end behave。 if c3=1001 then c3=0000。139。 end if。 elsif rising_edge(f_mile_r) then if k0=1001 then k0=0000。 end process。 else m0=m0+39。 when qinteger(n/2) else 39。等待時間, 1 脈沖 /分鐘 signal f : std_logic。 architecture behave of taxi is constant n : integer := 30。 use 。 巢湖學(xué)院 2020 屆本科畢業(yè)論文 (設(shè)計 ) 15 sel : out std_logic_vector(2 downto 0)。計費輸出個位 char2 : out std_logic_vector(3 downto 0)。 use 。 顯示結(jié)果的幾種情況 圖 11 驗證結(jié)果 1 圖 11 表示汽車行駛 21 公里,應(yīng)付費 元,符合設(shè)計要求。最后將等候時間、里程、計費都顯示出來。它支持一些比較成熟的模塊,如 LPM/MegaFunction 宏功能模塊庫等,設(shè)計者可以直接調(diào)用這些模塊,從而使設(shè)計的復(fù)雜性降低了,設(shè)計的速度也加快了。 (5)語法規(guī)范,易于共享。 VHDL 簡介 硬件描述語言已經(jīng)有幾十年的發(fā)展歷史,并且在系統(tǒng)的仿真、驗證和設(shè)計、綜合等方面得到成功的應(yīng)用。尤其是近幾年里,人們物質(zhì)生活水平得到提高以及生活節(jié)奏加快了,很多城市的出租車行業(yè)迅速發(fā)展,出租車已經(jīng)成為人們出行的普遍選擇之一。在出租車的需求量不斷加大的情況下,對出租車計費器系統(tǒng)的穩(wěn)定性,靈活性的要求增加,具有良好性能的計費器對于司機和乘客都是很有必要的。我國第一家生產(chǎn)計費器的是重慶起重機廠,早期的計費器就是個里程 表 ,因為它們都是用的機械齒輪結(jié)構(gòu),只能實現(xiàn)最簡單的計程功能。一個簡潔的使用 VHDL 語言編寫的程序就可以描述一個復(fù)雜的邏輯電路,因為 VHDL 擁有強大的語言結(jié)構(gòu) [6]。但是, VHDL仍然存在一些缺點,主要是 3 個方面。 要求顯示里程、計費及等候時間。 20 公里是臨界值,在此以后,計費以 元累加,需要對計數(shù)進行討論,因為個位為 8 或 9 都可能導(dǎo)致進位。在出租車計費器系統(tǒng)的兩個模塊 —— 計費模塊、顯示模塊中,計費模塊是實現(xiàn)系統(tǒng)功能的核心,里面又分為分頻、計時、公里計數(shù)、計費等部分;顯示模塊將顯示等候時間,里程和費用。公里脈沖信號 single : in std_logic。行駛公里輸出個位 km1 : out std_logic_vector(3 downto 0))。 signal char2 : std_logic_vector(3 downto 0)。等待信號 mile : in std_logic。延遲時 間 signal clk1hz : std_logic。 char0 = c0。039。 sec=sec+1。139。 else k0=k0+39。039。 else c1=c1+39。139。 entity display is port(clk : in std_logic。 begin q=min1 amp。 process(sel_reg,q(31 downto 0)) begin case sel_reg is when 000=q_reg=q(3 downto 0)。 show_reg when sel_reg=001 else 39。 end process。139。 show : out std_logic_vector(7 downto 0))。 end process。 if c2=1001 then c2=0000。 else c3=c3+39。 end if。039。 end if。 end if。039。單程且大于 20 公里,使能有效 signal f_wait : std_logic。行駛公里輸出 end taxi。 use 。 km1 : in std_logic_vector(3 downto 0)。計費輸出小數(shù)點位 char1 : out std_logic_vector(3 downto 0)。 use 。 管腳鎖定 在驗證出租車計費器系統(tǒng)的功能之前,需要清楚實驗箱與各個信號之間的對應(yīng)關(guān)系,參照資料得出本設(shè)計中各引腳的對應(yīng)情況如下: 出租車計費器的設(shè)計及實現(xiàn) 10 圖 10 管腳鎖定 管腳鎖定后將頂層文件下載到 EDA 實驗箱芯片中,驗證計費器的功能。若是暫停狀態(tài),計費器開始進行等候時間計數(shù),當(dāng)?shù)群驎r間累計1 分鐘,則每分鐘折算 1 公里里程價。 QuartusⅡ簡介 Quartus II 是 Altera 公司的綜合性 PLD 開發(fā)軟件 , 可以采用多種輸入方式 ,如 VHDL、 Verilog HDL、 AHDL(Altera Hardware Description Language)及電路圖等。 VHDL 的數(shù)據(jù)類型很豐富,支持標準定義的數(shù)據(jù)類型,當(dāng)標準定義的數(shù)據(jù)類型不能滿足用戶的需求 時,用戶可以自己定義的所需要的數(shù)據(jù)類型,增加了設(shè)計的自由度。計費器可長時間存儲多項營運數(shù)據(jù),以便于需要的時候查詢 [1]。 design 目 錄 中文摘要 ......................................................................................................................Ⅰ 英文摘要 ......................................................................................................................Ⅱ 1 引言 ..........................................................................................................................1 設(shè)計背景 ...............................................................................................................1 VHDL 簡介 ...........................................................................................................1 QuartusⅡ簡介 .......................................................................................................3 2 出租車計費器的設(shè)計 ..............................................................................................3 系統(tǒng)設(shè)計要求 .......................................................................................................3 設(shè)計思路 ...............................................................................................................4 出租車計費器系統(tǒng)工作流程圖 ...........................................................................4 3 出租車計費器的實現(xiàn) ..............................................................................................5 出租車計費器的頂層原理圖 ...............................................................................5 系統(tǒng)各功能模塊的實現(xiàn) .........
點擊復(fù)制文檔內(nèi)容
試題試卷相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1