freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的紅外遙控編譯碼器畢業(yè)論文(專業(yè)版)

2025-09-09 09:00上一頁面

下一頁面
  

【正文】 con = 39。039。 con = 39。 architecture rtl of ctrl_reg is signal reg : std_logic_vector(11 downto 0)。 [16] 余成波,信息理論與編碼,重慶大學(xué)出版社 。 [2]陳雪松 .騰中 立 .VHDL入門與應(yīng)用 .北京:人民郵電出版社 .20xx。由于 VHDL 和 EDA 工具軟件平臺(tái)的強(qiáng)大功能 ,他使得設(shè)計(jì)的許多工作都能在計(jì)算機(jī)上以軟件仿真的形式完成 ,這樣不僅可以大大縮短產(chǎn)品的開發(fā)周期 ,還可以隨時(shí)根據(jù)實(shí)際需要更改或者添加新的功能 ,設(shè)計(jì)模式更加靈活。在設(shè)計(jì)初期,源代碼的修改和功能仿真會(huì)不斷地重復(fù)以求得正確的結(jié)果。另一類是除具有第一類的功能外,其內(nèi)部設(shè)置有帶通濾波電路,外部不需要接體積大的電感元件等,使得外圍電路更簡單 [8]。 (2) 地址加密編碼與譯碼器 : 這一類電路無控制數(shù)據(jù)編碼僅有加密地址編譯碼功能。 接收解碼部分用 CPLD通過 VHDL實(shí)現(xiàn), 把紅外接收頭送來的二進(jìn)制編碼通過解碼還原出發(fā)送端 的發(fā)送 數(shù)據(jù)。 紅 外 遙 控的 編碼發(fā) 送 目前市場上有成百上千的編碼方式并存,沒有一個(gè)統(tǒng)一的國際標(biāo)準(zhǔn),只是各芯片廠商事實(shí)上的標(biāo)準(zhǔn),在自己的遙控器中使用自己指定的標(biāo)準(zhǔn)。而 7 到18 號單擊按鍵無論發(fā)送端按鍵時(shí)間持續(xù)多長,都只發(fā)送一次這樣形式的兩組相同的 12 位編碼。譯碼器 部分 有相應(yīng)的 12 位編碼線。 由于集成電路制造工藝和設(shè)計(jì)水平的不斷提高 ,將此類傳統(tǒng)的分立電路功能集成到嵌入式的系統(tǒng)中已成為可能。其常用的識(shí)別或編碼方式有兩種:即頻分制和碼分制。 可見程序是相當(dāng)簡單而且邏輯清晰的 ,這種自頂向下的設(shè)計(jì)方法使一個(gè)大型的系統(tǒng)設(shè)計(jì)分 解為若干個(gè)可操做的模塊 , 易于分工合作 , 并且可以對這些模塊分別進(jìn)行模擬仿真。其模 塊化實(shí)現(xiàn)的具體方法是使用子程序。程序 書寫 形式自由,主要 為 小 寫 字母表示, 壓縮了 一切不必要的成分。 這一部的最終目的是生成門電路級的網(wǎng) 表。由于在使用 VHDL等高級語言時(shí),有專用的工具來實(shí)現(xiàn)將語言描述的電路功能轉(zhuǎn)換為實(shí)際的電路所以你就用不著對底層的電路很熟悉,也用不著對 CPLD/FPGA 的結(jié)構(gòu)很熟悉(因?yàn)橛袑S玫墓ぞ哚槍δ愕拿枋霾捎孟鄳?yīng)的器件哦)。 長春工程學(xué)院畢業(yè)設(shè)計(jì)(論文) 5 2 系統(tǒng)的軟件設(shè)計(jì) VHDL 語言的介紹 VHDL 的英文全寫 是: VHSIC( Very High Speed Integrated Circuit) Hardware Descriptiong Language。通 過對 系 統(tǒng)電 路、 組裝進(jìn) 行的精心 設(shè)計(jì)處 理, 獲 得了 穩(wěn) 定、可靠的使用效果,目前已 經(jīng) 在全自 動(dòng) 生 產(chǎn)線無 故障 運(yùn) 行。單體便可提供 531441 種尋址能力。 [12]發(fā)射二極管發(fā)射次數(shù)和頻率對其使用壽命的影響 , 這種應(yīng)用中發(fā)射系統(tǒng)的使用壽命是設(shè)計(jì)者需要考慮的因素之一。控制信息傳輸?shù)奶攸c(diǎn)是信息量小 , 但是可靠性要求高。 目前國外(主要是歐美市場)的編譯碼器幾乎都是多功能的,它們能夠控制全球的絕大部分電子產(chǎn)品。 編碼 器 PT2248發(fā)射 控制器由 電 源部分、振 蕩電路及 紅 外 發(fā)射 管 組 成。 翻譯成中文就是超高速集成電路硬件描述語言。當(dāng)你要換器件時(shí),你只需要將原來設(shè)計(jì)好的 VDHL 文件在新器件的設(shè)計(jì)工具中再次實(shí)現(xiàn)就行了 。 5. 布局、布線。 (2) 運(yùn) 算符豐富。而面 向?qū)?象的方法 :將數(shù) 據(jù)及 對數(shù) 據(jù)的操作方法放在一起,作一 個(gè)定時(shí)器初始化 等待紅外碼 中斷服務(wù)程序 系統(tǒng)初始化 是否中斷結(jié)束 發(fā)送數(shù)據(jù) 是否發(fā)送完成 返回 長春工程學(xué)院畢業(yè)設(shè)計(jì)(論文) 9 相互依存、不可分離的整體。由于設(shè)計(jì)的主要模擬仿真是在高層上實(shí)現(xiàn)的 .所以能及早地發(fā)現(xiàn)系統(tǒng)中的錯(cuò)誤并改正 , 提高設(shè)計(jì)的效率 。頻分制就是以不同頻率的信號代表不同的指令或按鍵。 本文根據(jù)嵌入系統(tǒng)的要求進(jìn)行了紅外遙控器控制系統(tǒng)的設(shè)計(jì) . 設(shè)計(jì)中脈沖信號調(diào)制采用了 PPM 方式 ,紅外遙控器的控制系統(tǒng)采用了超高速集成電路硬件描述語言(VeryHigh speed integrated ci rcuit hardware DescriptionLanguage, VHDL ) , 并通過現(xiàn)場編程門陣列器件 ( Field Pvogrammable Gate Ar rays , FPGA) 實(shí)現(xiàn)系統(tǒng)能。發(fā)射控制器由電源部分、 PLC編碼控制器、光電隔離電路、集成編碼器、振蕩電路及紅外發(fā)射管組成。當(dāng)按下 1 到 6 號連續(xù)按鍵時(shí),編碼格 式連續(xù)發(fā)送。但由于早期的生產(chǎn)遙控芯片的廠家較少,主要集中在歐洲和日本,他們所使用的編碼標(biāo)準(zhǔn)成為后續(xù)很多廠家遵循或者模仿的標(biāo)準(zhǔn),也就是說很多廠家生產(chǎn)出自己的遙控器,但只是在脈沖寬度、數(shù)據(jù)位的個(gè)數(shù)上有一些變化,在整個(gè)碼型結(jié)構(gòu)上還是遵循的老廠家的標(biāo)準(zhǔn)。 具體模塊的劃分如下圖所示: 43 譯碼系統(tǒng)的描述 1. 數(shù)據(jù)同步控制計(jì)數(shù)器 由編碼規(guī)則可知,在 接收編碼其間高電平時(shí)間不會(huì)超過 3a,如果超過 3a則可判定為無編碼發(fā)送時(shí)間,在此時(shí)對狀態(tài)機(jī)及寄存器清零可獲得正確的數(shù)據(jù)同步。適用于可靠性要求較高 , 但只進(jìn)行簡單的開關(guān)或增減控制的場合。 發(fā)送控制的設(shè)計(jì) 編碼 器 PT2248發(fā)射 控制器由 電 源部分、振 蕩電 路及 紅 外 發(fā)射 管 組 成。功能仿真不能對時(shí)序作任何的驗(yàn)證,因?yàn)榉抡嫫鲀H對設(shè)計(jì)的邏輯行為進(jìn)行模擬測定,而沒有把器件的延時(shí)特性考慮進(jìn)去,仿真器給出的結(jié)果就僅僅是邏輯功能,所有信號在仿真時(shí)都是立即改變狀態(tài)。從而他為各類紅外控制應(yīng)用系統(tǒng)提供了一類實(shí)用的參考。 [3]基于 CPLD的低頻數(shù)字相位測量儀 .電子工程師 .20xx, 10。 [17] 中國電子網(wǎng),智能型紅外遙控器設(shè)計(jì),技術(shù)文章 。 type state_type is (A,B,C,D)。039。 end if。039。 end if。 39。039。 end entity ctrl_reg。 [15] 蘇長贊鄒殿貴,紅外線與超聲波遙控,人民郵電出版社 。長春工程學(xué)院畢業(yè)設(shè)計(jì)(論文) 24 參考文獻(xiàn) [1]潘松 .VHDL實(shí)用教程 .成都:電子科技大學(xué)出版社 .20xx。該紅外遙控系統(tǒng)已經(jīng)得到實(shí)踐驗(yàn)證 ,而且該系統(tǒng)的仿真是由 FPGA 來完成的。功能仿真的作用在于一方面檢查源代碼語法上的錯(cuò) 誤,另一方面檢驗(yàn)功能的正確性。常用的有兩類 :一類是內(nèi)含前置放大器以及限幅、檢波和整形電路等,但芯片上無帶通濾波器,選頻時(shí)需外接 LC 選頻網(wǎng)絡(luò) 。適用于安防、工業(yè)控制等對可靠性要求長春工程學(xué)院畢業(yè)設(shè)計(jì)(論文) 19 較高的 場合。因而產(chǎn)生了專用的解碼芯片和自行設(shè)計(jì)的解碼芯片。對于一般的遙控系統(tǒng), 此串行碼由紅外接收頭解調(diào)后,作為微控制器的遙控輸入信號,由 CPU 完成對遙控指令的譯碼,設(shè)計(jì)人員通常利用紅外編碼譯碼專用芯片或者單片機(jī)研制各種紅外遙控系統(tǒng),對各種電氣設(shè)備進(jìn)行遙控。這樣,當(dāng)按下遙控器的 7 到 18 號單擊按鍵時(shí),系統(tǒng)將以 12 位為一組發(fā)送兩次編碼,其中 60a 為自按下按鍵到發(fā)送編碼的等待時(shí)間, 80a 是重復(fù)發(fā)送 12位 48a編碼的間隔時(shí)間,接收解調(diào)器在此時(shí)的輸出和沒有收到紅外編碼一樣(為高電平)。 編碼器 ED5026 可作三態(tài)編碼,也可以作四態(tài)編碼。 紅外遙控作為一種單向紅外通訊技術(shù) , 因其具有性能穩(wěn)定、使用方便以及成本低廉等特點(diǎn) ,已經(jīng)在消費(fèi)類電器中得到普遍的應(yīng)用 . 隨著對電器產(chǎn)品的智能化和使用便利性要求的進(jìn)一步提高 , 紅外遙控的應(yīng)用已開始從其傳統(tǒng)的家用電器領(lǐng)域向智慧設(shè)備等新領(lǐng)域擴(kuò)展。其中紅外發(fā)送器用來產(chǎn)生與指令 /按鍵對應(yīng)的控制信號,并在信號發(fā)射前預(yù)先對指令或按鍵信號進(jìn)行識(shí)別或編碼,并經(jīng)過調(diào)制以串行數(shù)據(jù)的形式發(fā)送出去。 反之, temp high為‘ 1’ temp low 為‘ 0’ 根據(jù) temp_ high 和 temp _low的值來決定當(dāng)前的工作狀態(tài) , 并給出相應(yīng)的制輸出信號。每一模 塊內(nèi) 部均是由 順 序、 選擇 和循 環(huán) 三種基本 結(jié) 構(gòu) 組 成 。 VHDL 語 言的優(yōu) 點(diǎn) : ( 1) 語 言 簡 潔、 緊湊 、使用方便、 靈 活。至少,我讀 過的幾本書中就沒有。 但是當(dāng)你采用 VHDL等高級語言來設(shè)計(jì)時(shí)這些問題都會(huì)得到較好的解決。 本章小結(jié) 本章是對我所做的是設(shè)計(jì) —— 基于 vhdl 的紅外遙控編譯碼器的總體概述,分析了當(dāng)下國內(nèi)和國外的紅外遙控編譯碼器的發(fā)展和現(xiàn)況,介紹了本設(shè)計(jì)內(nèi)容的特點(diǎn),列出了本設(shè)計(jì)的任務(wù)。在 綜 合分析的基 礎(chǔ) 上, 我們采 用 紅 外 線編碼 方式 設(shè)計(jì) ,使系 統(tǒng) 具 備 了良好的抗 電 磁干擾的能力。該芯片組可以在 3~ 15V 的電壓范圍內(nèi)可靠工作,外圍僅需一時(shí)鐘振蕩電阻。數(shù)據(jù)的傳輸一般來說傳輸?shù)男畔⒘繒?huì)較大 , 因此一般會(huì)要求有較高的傳輸速度 , 對于可靠性的要求則針對 數(shù)據(jù)的具體應(yīng)用而異 , 在計(jì)算機(jī)、 PDA 等設(shè)備上采用的 IRDA 界面就是這種應(yīng)用的典型代表。目前廣泛應(yīng)用的紅外遙控就屬于這種情況。而這些編譯碼器一般其價(jià)格一般都比較貴,達(dá)上百美金。 本 論 文的 設(shè)計(jì) 任 務(wù) 及結(jié)構(gòu) 本題要求采用合適的 紅 外 遙 控 專用 芯片,制作多路 紅 外 遙 控系 統(tǒng) 的 編碼 器,其 譯碼 器采用 VHDL 語言編 程、可 編 程 邏輯 器 件實(shí)現(xiàn) ,完成多路 紅 外 遙 控系 統(tǒng) 的 設(shè)計(jì) 。因此它的應(yīng)用主要是應(yīng)用在數(shù)字電路的設(shè)計(jì)中。 用高級語言設(shè)計(jì)電路的流程 : 在用高級語言來設(shè)計(jì)電路時(shí) ,主要的過程是這樣的 : 1. 使用文本編輯器輸入設(shè)計(jì)源文件 (你可以使用任何一種文本編輯器。這一步的目的是生成用于燒寫(編程 Programming)的編程文件。 VHDL 語 言的 運(yùn) 算符包含的分 為 很 廣 . (3) 數(shù) 據(jù) 結(jié) 構(gòu)豐富,具有 現(xiàn) 代化的各種 數(shù) 據(jù) 結(jié) 構(gòu)。 部分 程序: library ieee。 本章小結(jié) 本章的主要內(nèi)容是對軟件系統(tǒng)的設(shè)計(jì),給出了函數(shù)的流程圖及部分程序,說明了軟件系統(tǒng)在本設(shè)計(jì)中的應(yīng)用和作用,主要對 vhdl 語言做了細(xì)致的描述,它的優(yōu)點(diǎn)給本設(shè)計(jì)帶來極大的方便。遙控信號的頻率范圍在幾百赫茲到幾千赫茲之間。 設(shè)計(jì)方案 方案一 : 該系統(tǒng)選取 PT2248 作為構(gòu)成發(fā)送器的芯片 [7]。 基于對以上兩種方案的分析 ,本 課題決定選用方案一 。 紅外發(fā)射方式 紅外遙控信號的發(fā)射由編程控制器、指令編碼、信號的調(diào)制及紅外發(fā)射四部分組成。隨著單片機(jī)技術(shù)的發(fā)展,很多公司使用通用單片機(jī)編碼然后通過紅外光調(diào)制后發(fā)射。因此該計(jì)數(shù)器進(jìn)位信號作為解碼控制、串行轉(zhuǎn)并行移位寄存器模塊的異步清零信號。 (3) 控制數(shù)據(jù)編碼與譯碼器 : 這類電路無加密地址編碼僅有控制數(shù)據(jù)的編譯碼。 數(shù)字編碼信號并不直接用于調(diào)制紅外光產(chǎn)生的紅外數(shù)據(jù)信號 , 而是預(yù)先對 38 kHz左右的載波信號進(jìn)行調(diào)制 ,再以此信號驅(qū)動(dòng)紅外管發(fā)光 , 即采用二次調(diào)制技術(shù) , 這樣做的好處是 : 可使紅外發(fā)光管的脈沖電流很大而直流平均電流較小 , 管芯不至發(fā)熱并且有利于收端檢出有效信號 , 抑制相近光譜的干擾 , 提高信噪聲比和作用距離。在進(jìn)行功能仿真之前,必須先建立仿真文件?;?這樣 的背景, 設(shè)計(jì) 研制了本 項(xiàng)目 ,以下就是所做 設(shè)計(jì) 的具體成果。 [4]徐志軍、徐光輝 .大規(guī)??删幊踢壿嬈骷捌鋺?yīng)用 .成都:電子科技大學(xué)出版社。 長春工程學(xué)院畢業(yè)設(shè)計(jì)(論文) 25 致 謝 經(jīng)過這 段 時(shí)間 的刻苦 鉆 研, 終 于完成了我的畢業(yè) 設(shè)計(jì)論 文。
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1