freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda技術(shù)課程設(shè)計(jì)-數(shù)字式競(jìng)賽搶答器(專業(yè)版)

  

【正文】 在實(shí)驗(yàn)的過(guò)程中,連接線路很重要。仿真圖上顯示的為 A 先搶答,因?yàn)樵? 微秒之間 A、 C雖然都按搶答鍵,但 CLR 為有效狀態(tài),所以在此時(shí)間段內(nèi)的搶答無(wú)效。 0 WHEN 0001=DOUT7=0110000。 end if。 entity sjxz is port (a,b,c: in std_logic_vector(3 downto 0)。139。139。 entity js is port(clk,rst,s,stop:in std_logic。 tmp=s0 or s1 or s2 or s3。 ) then st(2)=39。139。)and not( st(1)=39。 states:buffer std_logic_vector(3 downto 0)。當(dāng)主持人按下 “復(fù)位 ”按鈕,所有組的按鍵才可用。 use 。139。)and not( st(0)=39。139。 ) then st(3)=39。 (二)計(jì)時(shí)模塊 VHDL 源程序 library ieee。 then co=39。 then tb=0010。 use 。)then if(count=10)then count=00。 DOUT7: OUT STD_LOGIC_VECTOR(6 DOWNTO 0))。 譯碼模塊圖 在這個(gè)模塊中主要實(shí)現(xiàn)搶答過(guò)程中將 BCD 碼轉(zhuǎn)換成 7 段的功能。 ? 譯碼器模塊仿真分析: 當(dāng) AIN4= 0000 , DOUT7 輸出 1111110,此時(shí)數(shù)碼管顯示 0; 當(dāng) AIN4= 0001 , DOUT7 輸出 0110000,此時(shí)數(shù)碼管顯示 1; 當(dāng) AIN4= 0010 , DOUT7 輸出 1101101,此時(shí)數(shù)碼管顯示 2; 當(dāng) AIN4= 0011 , DOUT7 輸出 1111001,此時(shí)數(shù)碼管顯示 3; 當(dāng) AIN4= 0100 , DOUT7 輸出 0110011,此時(shí)數(shù)碼管顯示 4; 當(dāng) AIN4= 0101 , DOUT7 輸出 1011011,此時(shí)數(shù)碼管顯示 5; 當(dāng) AIN4= 0110 , DOUT7 輸出 1011111,此時(shí)數(shù)碼管顯示 6; 當(dāng) AIN4= 0111 , DOUT7 輸出 1110000,此時(shí)數(shù)碼管顯示 7; 當(dāng) AIN4= 1000 , DOUT7 輸出 1111111,此時(shí)數(shù)碼管顯示 8; 當(dāng) AIN4= 1001 , DOUT7 輸出 1111011,此時(shí)數(shù)碼管顯示 9; 三 、結(jié)論 及心得體會(huì) 智能搶答器的設(shè)計(jì)關(guān)鍵在于幾個(gè)模塊的設(shè)計(jì)要成功,即輸入控制電路的設(shè)計(jì),顯示電路的設(shè)計(jì),發(fā)生電路的設(shè)計(jì)和計(jì)時(shí)電路的設(shè)計(jì)。 通過(guò)本次 課程 設(shè)計(jì),使我更加的 了解 如何來(lái)設(shè)計(jì)一個(gè)實(shí)驗(yàn),可以設(shè)計(jì)多種方案,通過(guò)比較 得出 最佳 方案,使自己的思路更加的縝密。 由仿真圖 310 可知以下情況: ( 1)系統(tǒng)設(shè)計(jì)過(guò)程中,當(dāng) 計(jì)分復(fù)位端 RST=1時(shí),并且組別輸入信號(hào) CHOS=0000,其中的組別輸入信號(hào)是搶答鑒別模塊的輸出信號(hào),計(jì)分器復(fù)位,此時(shí)以上四組都不會(huì)產(chǎn)生加減分操作。 3 WHEN 0100=DOUT7=0110011。 when 10=y=c。 y: out std_logic_vecto
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1