freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

畢業(yè)論文-基于eda技術(shù)的電梯控制器實(shí)現(xiàn)仿真(更新版)

  

【正文】 考文獻(xiàn)[1].黃正謹(jǐn) ,徐 堅(jiān),章小麗,熊明珍。導(dǎo)師敏銳的學(xué)術(shù)思想、嚴(yán)謹(jǐn)踏實(shí)的治學(xué)態(tài)度、淵博的學(xué)識(shí)、精益求精的工作作風(fēng)、誨人不倦的育人精神,將永遠(yuǎn)銘記在我的心中,使我終身受益。6 設(shè)計(jì)總結(jié)電梯控制器系統(tǒng)設(shè)計(jì)已經(jīng)全部完成,基本實(shí)現(xiàn)了預(yù)期效果,實(shí)現(xiàn)了電梯按預(yù)定運(yùn)行規(guī)則上升、下降、載客等功能,并設(shè)計(jì)了提前關(guān)門功能,使電梯運(yùn)行更便捷,設(shè)計(jì)了關(guān)門延時(shí)功能、超載報(bào)警功能、故障報(bào)警功能,使電梯運(yùn)行更加安全更加可靠。039。2. 電梯經(jīng)過準(zhǔn)備上升狀態(tài)后,進(jìn)入上升狀態(tài),到達(dá)2樓,3樓時(shí),不停繼續(xù)前進(jìn)。 仿真步驟(1)仿真器參數(shù)設(shè)置。(2)設(shè)置仿真時(shí)間區(qū)域,在“Edit”菜單中選擇“End Time”項(xiàng),在彈出窗口中設(shè)置,設(shè)置完后對(duì)文件進(jìn)行保存。(5) 保存以后,對(duì)程序進(jìn)行編譯。 電梯外人上升請(qǐng)求信號(hào)顯示 led_c_d=cc_d。c_d22amp。c_u44amp。d44amp。139。 then c_d22=c_d2。139。 then c_u11=c_u1。139。 then d22=d2。else q=1。139。q2=q2+1。door=00。提前關(guān)門 elsif q1=6 then door=00。 then 上升預(yù)操作 if deng=39。q1=0。 elsif q=1 then q=0。清除故障報(bào)警 elsif full=39。event and clk=39。en_dw=39。 有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開門狀態(tài)elsif dd_cc00000010 then en_dw=39。 or c_d22=39。139。139。139。這是VHDL中的順序語(yǔ)句,與我們常見的C語(yǔ)言中的if作為條件語(yǔ)句不同。 then alarm=39。 then if clr=39。電梯運(yùn)動(dòng)方向信號(hào)寄存器signal en_up,en_dw:std_logic。 電梯內(nèi)人請(qǐng)求信號(hào)寄存信號(hào)signal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic。電梯所在樓層顯示(數(shù)碼管顯示) led_c_u:out std_logic_vector(5 downto 0)。(2) entity實(shí)體設(shè)計(jì)模塊entity dianti is port ( clk : in std_logic。(2)程序要求的寄存器(中間信號(hào))包括:電梯內(nèi)人請(qǐng)求信號(hào)寄存信號(hào)(d11,d22,d33,d44,d55,d66)、電梯外人上升請(qǐng)求信號(hào)寄存信號(hào)(c_u11,c_u22,c_u33,c_u44,c_u55)、電梯外人下降請(qǐng)求信號(hào)寄存信號(hào)(c_d22,c_d33,c_d44,c_d55,c_d66)、分頻信號(hào)(q)、關(guān)門延時(shí)計(jì)數(shù)器(q1)、看門狗計(jì)數(shù)器(q2)、電梯內(nèi)外請(qǐng)求信號(hào)寄存器(dd,cc_u,cc_d,dd_cc)、開門使能信號(hào)(opendoor)、電梯運(yùn)動(dòng)方向信號(hào)寄存器(updown)、預(yù)備上升、預(yù)備下降預(yù)操作使能信號(hào)(en_up,en_dw)。對(duì)程序進(jìn)行模塊化構(gòu)思。其流程圖如圖3所示。此時(shí),電梯就進(jìn)入預(yù)下降狀態(tài),準(zhǔn)備作下降運(yùn)行。使電梯進(jìn)入預(yù)上升狀態(tài)的請(qǐng)求信號(hào)就是上升請(qǐng)求信號(hào)。在實(shí)際應(yīng)用設(shè)計(jì)中,對(duì)程序原理性及可執(zhí)行性的驗(yàn)證主要集中在程序修改階段,尤其在處理的數(shù)據(jù)復(fù)雜、繁多時(shí),Quartus II自帶的波形輸入仿真就很難實(shí)現(xiàn)程序的驗(yàn)證,而且輸出的數(shù)據(jù)不能方便的以波形圖示直觀的呈現(xiàn),給程序設(shè)計(jì)者在校驗(yàn)程序階段帶來(lái)了很多的不便。但在現(xiàn)代數(shù)字系統(tǒng)設(shè)計(jì)時(shí),通過EDA軟件,完全可以找出毛刺產(chǎn)生的原因及產(chǎn)生的位置,并且非常準(zhǔn)確和接近實(shí)際情況。結(jié)構(gòu)體名類型;端口信號(hào)名4:類屬說明IS[GENERIC(常數(shù)名:數(shù)據(jù)類型[:設(shè)定值])]程序包(PACKAGE):聲明在設(shè)計(jì)中將用到的常數(shù)、數(shù)據(jù)類型、元件及子程序。程序設(shè)計(jì)的硬件目標(biāo)器件有廣闊的選擇范圍,可以是各系列的CPLD、FPGA及各種門陣列器件。它可以用明確的代碼描述復(fù)雜的控制邏輯設(shè)計(jì)。包括在機(jī)械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事、等各個(gè)領(lǐng)域,都有EDA的應(yīng)用。20世紀(jì)90年代,國(guó)際上電子和計(jì)算機(jī)技術(shù)較先進(jìn)的國(guó)家,一直在積極探索新的電子電路設(shè)計(jì)方法,并在設(shè)計(jì)方法、工具等方面進(jìn)行了徹底的變革,取得了巨大成功。相信在不遠(yuǎn)的將來(lái),我國(guó)相關(guān)的專業(yè)技術(shù)人員使用EDA技術(shù)進(jìn)行工程設(shè)計(jì),就像現(xiàn)在使用計(jì)算器一樣,雖然大部分人不能開辦集成電路制造廠,但是卻能快速、經(jīng)濟(jì)地制造(設(shè)計(jì))自己的專用集成電路或集成電子系統(tǒng)。 EDA技術(shù)在電梯控制的應(yīng)用EDA技術(shù)不是某一學(xué)科的分支,或某種新的技能技術(shù),它是一們綜合性學(xué)科,融合多學(xué)科于一體,打破了軟件和硬件間的壁壘,使計(jì)算機(jī)的軟件與硬件實(shí)現(xiàn)、設(shè)計(jì)效率和產(chǎn)品性能合二為一,它代表了電子設(shè)計(jì)技術(shù)和應(yīng)用技術(shù)的發(fā)展方向。PLC充分利用了微型計(jì)算機(jī)的原理和技術(shù),具有很強(qiáng)的邏輯處理能力,在電梯運(yùn)行控制中發(fā)揮了重要作用。能在較惡劣的各種環(huán)境里工作、可靠性高,適合于安全性要求較高的電梯控制。2總體方案的確定 PLC技術(shù)在電梯控制的應(yīng)用由當(dāng)初的繼電器邏輯控制電路到今天廣為應(yīng)用的可編程邏輯控制器及微機(jī)控制系統(tǒng),電梯控制的發(fā)展經(jīng)歷了相當(dāng)一段歷程。興建高層建筑是其中的有效措施之一,隨之樓層電梯業(yè)便應(yīng)運(yùn)而生。隨著經(jīng)濟(jì)建設(shè)的持續(xù)高速發(fā)展,我國(guó)電梯需求量越來(lái)越大,電梯生產(chǎn)已成為我國(guó)一門極具前景的新興產(chǎn)業(yè)。Automation)技術(shù)中的高速集成電路硬件描述語(yǔ)言(Very High Speed Integrated Circuit Hardware Descrisioon Language)編寫六層電梯控制程序,實(shí)驗(yàn)調(diào)試平臺(tái)是Altera公司的QuartusII軟件。因此,本設(shè)計(jì)就六層電梯控制器設(shè)計(jì)為例,結(jié)合EDA技術(shù),對(duì)電梯控制進(jìn)行設(shè)計(jì)。由PLC或微機(jī)實(shí)現(xiàn)繼電器的邏輯控制功能,具有較大的靈活性,不同的控制方式可用相同的硬件,只是軟件各不相同。因此,目前在國(guó)產(chǎn)電梯及中低檔的客梯廣泛應(yīng)用了PLC控制系統(tǒng),特別適用在用電梯的技術(shù)改造。 單片機(jī)技術(shù)在電梯控制的應(yīng)用單片機(jī)體積小,處理速度快,價(jià)格低廉,功能強(qiáng)大,是合適的控制系統(tǒng)。正因EDA在設(shè)計(jì)控制系統(tǒng)中的這些特點(diǎn),在電梯控制電路上采用EDA技術(shù)進(jìn)行開發(fā),越來(lái)越受到人們的重視。基于EDA技術(shù)開發(fā)的實(shí)現(xiàn)六層電梯自動(dòng)控制與目前主流的利用可編程邏輯控制器實(shí)現(xiàn)電梯控制緊密相連。這一切極大地改變了傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計(jì)方法、設(shè)計(jì)過程和設(shè)計(jì)觀念,促進(jìn)了EDA技術(shù)的迅速發(fā)展。 VHDL語(yǔ)言介紹VHDL(Very High Speed Integrated Circuit Hardware Description Language)語(yǔ)言于1983年由美國(guó)國(guó)防部發(fā)起創(chuàng)建,由電工和電子工程師協(xié)會(huì)(the institute of electrical and electronics engineer)進(jìn)一步發(fā)展并在1987年作為“IEEE1076”發(fā)布。(二)可移植性:VHDL語(yǔ)言是一個(gè)標(biāo)準(zhǔn)語(yǔ)言,其設(shè)計(jì)描述可以為不同的EDA工具支持。使其在任何大系統(tǒng)的設(shè)計(jì)中,隨時(shí)可對(duì)設(shè)計(jì)進(jìn)行仿真模擬。電路的具體描述配置(CONFIGURATION):一個(gè)實(shí)體可以有多個(gè)構(gòu)造體,可以通過配置來(lái)為實(shí)體選擇其中一個(gè)構(gòu)造體。PORT模式IS[定義語(yǔ)句(元件例化);]BEGIN并行處理語(yǔ)句;END QuartusII軟件介紹Altera公司的QuartusII軟件提供了可編程片上系統(tǒng)(SOPC)設(shè)計(jì)的一個(gè)綜合開發(fā)環(huán)境。而僅僅為了便于程序的驗(yàn)證而用FPGA實(shí)現(xiàn)這些外圍電路,不但會(huì)大大延長(zhǎng)程序的開發(fā)周期,更會(huì)增大開發(fā)的成本。(2)電梯處于各樓層時(shí)的運(yùn)行情況:處于一樓時(shí),電梯只可能接收到上升的請(qǐng)求信號(hào)。在電梯運(yùn)行過程中,存在一個(gè)如何處理信號(hào)優(yōu)先的問題。在電梯進(jìn)行完關(guān)門倒數(shù)計(jì)時(shí)、超重排除以及故障排除后,關(guān)門使能信號(hào)將促使電梯關(guān)門進(jìn)入預(yù)操作狀態(tài)。因此程序運(yùn)行需經(jīng)過以下流程:VHDL庫(kù)調(diào)用;確立控制器的端口及相關(guān)的寄存器;根據(jù)電梯運(yùn)行規(guī)則,設(shè)計(jì)相關(guān)運(yùn)行描述;對(duì)電梯內(nèi)外信號(hào)進(jìn)行處理。(1) 調(diào)用VHDL庫(kù) 使用library語(yǔ)句,本程序應(yīng)用了VHDL庫(kù)中的“通用ieee庫(kù)”和“標(biāo)準(zhǔn)std庫(kù)” 。 電梯外人的上升請(qǐng)求信號(hào) c_d2,c_d3,c_d4,c_d5,c_d6: in std_logic。電梯內(nèi)請(qǐng)求信號(hào)顯示 wahaha : out std_logic。分頻信號(hào)signal q1:integer range 0 to 6。上文已說明了構(gòu)成VHDL程序的兩大部分—實(shí)體和結(jié)構(gòu)體的相關(guān)語(yǔ)句。q2=0。if q1=3 then door=10。139。 thend22=39。en_dw=39。 opendoor=39。039。039。039。139。139。 if q2=3 then wahaha=39。039。q1=0。up=39。139。 then q1=3。 else q1=q1+1。 清除超載報(bào)警 if d1=39。 then d33=d3。139。 then c_u22=c_u2。139。 then c_d33=c_d3。139。d11。c_u11。 電梯外人下降請(qǐng)求信號(hào)并置 dd_cc=dd or cc_u or cc_d。(2)在工具欄中選擇“新建”按鈕。(6) 在“QuartusII”里選擇“Processing”下拉菜單中的“Start Compilation”,此時(shí),QuartusII軟件會(huì)對(duì)程序進(jìn)行糾錯(cuò)等處理。方法是在編輯器左邊Name下的空白處右擊選擇“Insert Node Or Bus”項(xiàng),彈出對(duì)話框如圖13所示,在Node Filter框中選“Pins:all”,單擊List按鈕,下方的Nodes Found 窗口中出現(xiàn)設(shè)計(jì)工程的所有端口引腳名?,F(xiàn)在所有設(shè)置進(jìn)行完畢,在菜單Processing項(xiàng)下選擇Start Simulation,直到出現(xiàn)Simulation was successful,仿真結(jié)束。2. 電梯下降經(jīng)過5樓,4樓都不停,到達(dá)3樓開門卸客,電梯進(jìn)入預(yù)備下降狀態(tài)。仿真圖中q1從1跳到3;進(jìn)入關(guān)門狀態(tài)。如果要實(shí)現(xiàn)n層電梯的控制,首先在端口的地方就要加入所有的按鍵,而指示燈只要把向量中的6改成n就可以了。感謝所有任課老師四年來(lái)對(duì)我的培養(yǎng)?!禖PLD/FPGA應(yīng)用開發(fā)技術(shù)與工程實(shí)踐》(第1版)[M].人民郵電出版社. 2005年1月。《電梯實(shí)用技術(shù)教程》[M]。 時(shí)鐘信號(hào)(頻率為2Hz) full,deng,quick,clr : in std_logic。 電梯外人上升請(qǐng)求信號(hào)顯示 led_c_d:out std_logic_vector(5 downto 0)。 電梯外人上升請(qǐng)求信號(hào)寄存信號(hào)signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic。 預(yù)備上升、預(yù)備下降預(yù)操作使能信號(hào)beginprocess(clk)beginif clk39。039。 end if。 then door=10。139。 then q1=3。 電梯進(jìn)入關(guān)門狀態(tài) else q1=q1+1。q1=0。down=39。 if g1=39。039。039。 then led=0010010。039。039。039。 c_d22=39。 opendoor=39。 有上升請(qǐng)求,則電梯進(jìn)入預(yù)備上升狀態(tài) end if。 or c_u33=39。 elsif dd_cc00000111 then en_up=39。 en_up=39。139。139。039。139。039。039。 elsif d44=39。 opendoor=39。 elsif dd_cc00001111 then en_up=39。139。 then d55=39。 en_dw=39。 opendoor=39。039。039。039。139。139。en_dw=39。139。 elsif d4=39。 then d66=d6。 elsif c_u3=39。 then c_u55=c_u5。 elsif c_d4=39。 then c_d66=c_d6。 電梯內(nèi)人請(qǐng)求信號(hào)并置 cc_u=39。 電梯外人上升請(qǐng)求信號(hào)并置 cc_d=c_d66amp。 電梯內(nèi)、外人請(qǐng)求信號(hào)進(jìn)行綜合 end i
點(diǎn)擊復(fù)制文檔內(nèi)容
物理相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1