freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga數(shù)字頻率計的設(shè)計(完整版)

2025-01-23 01:22上一頁面

下一頁面
  

【正文】 c_vector(3 downto 0))。 end case。 end disp。 when 0111=q=0100111。 在 clk0 的上升沿到來之前 ,如果 clkx 出現(xiàn)了上升跳變, 則 le 信號變?yōu)楦唠娖剑嫈?shù)器 ct 的重載信號和鎖存器 cout 的使能信號有效 當(dāng) clk0 的上升沿到來時,計數(shù)器的計數(shù)值鎖存,同時計數(shù)器重載為 1,重新開始計數(shù) le 變?yōu)榈碗娖?計數(shù)器在其他時間里進(jìn)行加 1 計數(shù),鎖存器的值則保持不變 該測周期計數(shù)器能在 clkx 的每個上升沿之后輸出計數(shù)值,實現(xiàn)了對被測信號進(jìn)行測周期計數(shù),并始終輸出其最新一個周期的計數(shù)值 ,cint 端輸出一個 clk0 周期寬度的高脈沖,用于指示新的計數(shù)值 鎖存。 cint : out std_logic。039。 if s0=39。 else cint=39。 此外,為了使頻率計的設(shè)計更加靈活,頻率計中分頻器的分頻系數(shù)也可改為由外部輸入。比如缺乏綜合應(yīng)用專業(yè)知識的能力,對材料的不了解,等等。此次畢業(yè)設(shè)計是對我專業(yè)知識和專業(yè)基礎(chǔ)知識一次實際檢驗和鞏固,同時也是走向工作崗位前的一次熱身。 end if。 then cout=ct。 else if clk039。 signal ct : std_logic_vector(23 downto 0)。 use 。 end case。 when 0011=q=1001111。 library ieee。 when010=q=a2。 library ieee。 q4=t4。event and l=39。 library ieee。 q1=c1。 dang=0011。 q2=c5。 c5:=0000。 end if。 else c6:=0000。 else c2:=0000。139。 entity corna is port(clr,sig,door:in std_logic。 end if。 entity sel is port(clk:in std_logic。 end if。 variable x:std_logic。 總體框圖如圖 1 所示 ( 1) 模塊 FEN 見圖 ,通過對 4MHz 時鐘進(jìn)行分頻以獲得 Hz 時鐘,為核心模塊 CORNA 提供 1 s 的閘門時間。根據(jù)設(shè)計要求,最高測量頻率為 9999Hz ,應(yīng)采用 4 位十進(jìn)制計數(shù)器。 信號放大、波形整形電路 為了 能測量不同電平值與波形的周期信號的頻率,必須對被測信號進(jìn)行放大與整形處 理, 系統(tǒng)硬件電路的設(shè)計 7 圖 3 分頻器的輸出波形 使之成為能被計數(shù)器有效識別的脈沖信號。波形整形電路對 100Hz 信號進(jìn)行整形,使之成為如圖 2 所示 100Hz 的矩形波。 從數(shù)字頻率計的基本原理出發(fā),根據(jù)設(shè)計要求,得到如圖 所示的電路框圖。計數(shù)結(jié)果被鎖存以后,即可對計數(shù)器清零。 系統(tǒng)方案的設(shè)計 3 圖 4 數(shù)字頻率計電路圖 電路中采用雙 JK 觸發(fā)器 74HC109 中的一個觸發(fā)器組成 觸發(fā)器,它將分頻輸出脈沖整形為脈寬為 1S 、周期為 2S 的方波。如果我們能在給定的 1S 時間內(nèi)對信號波形計數(shù),并將計數(shù)結(jié)果顯示出來,就能讀取被測信號的頻率。而頻率計的發(fā)在雖是一個極小部分但也可以反映出我國民族產(chǎn)業(yè)發(fā)展的現(xiàn)狀。 當(dāng)今數(shù)字頻率計不僅是作為電壓表、計算機、天線電廣播通訊設(shè)備、工藝工程自動化裝置。摘 要 I 摘 要 數(shù)字頻率計是電子測量與儀表技術(shù) 最基礎(chǔ)的電子儀表之一, 也 是計算機、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺少的測量儀器 。多種儀表儀器與家庭電器等許多電子產(chǎn)品中的數(shù)據(jù)信息輸出顯示器反映到人們眼簾。我國在很多的方面都已不是過去那個很貧窮落后的國家,但是關(guān)系著我們國計民生的民族產(chǎn)業(yè)的發(fā)展卻是不盡人意,不能不成為今天令人注目的焦點。數(shù)字頻率計首先必須獲得相對穩(wěn)定與準(zhǔn)確的時間,同時將被測信號轉(zhuǎn)換成幅度與波形均能被數(shù)字電路識別的脈沖信號,然后通過計數(shù)器計算這一段時間間隔內(nèi)的脈沖個數(shù),將其換算后顯示出來。從觸發(fā)器 Q 端輸出的信號加至控制門,確保計數(shù)器只在 1S 的時間內(nèi)計數(shù)。由于 74HC4518 為異步高電平清零,所以將 JK 觸發(fā)器的 同 100Hz 脈沖信號“與”后的輸出信號作為計數(shù)器的清零脈沖。 下面介紹框圖中各部分的功能及實現(xiàn)方法 電源與整流穩(wěn)壓電路 框圖中的電源采用 50Hz 的交流市電。 圖 2 全波整流與波形整形電路的輸出波形 波形整形可以采用過零觸發(fā)電路將全波整流波形變?yōu)榫匦尾?,也可采用施密特觸發(fā)器進(jìn)行整形 。信號放大與波形整形電路的作用即在于此??梢赃x用現(xiàn)成的 10 進(jìn)制集成計數(shù)器。 library ieee。 begin if clk39。 q=x。 sel:out std_logic_vector(2 downto 0))。 sel=t。 alm:out std_logic。then if door=39。 if c31001then c3:=c3+1。 alm=39。 end if。 c4:=0000。 q1=c4。 elsif c4/=0000then q3=c4。 q0=c0。 use 。039。 q3=t3。 use 。 when011=q=a3。 use 。 when 0100=q=1100110。 軟件設(shè)計 13 end process。 entity freq_count is 實體定義 port( reset: in std_logic。 begin p0: process(reset clk0) 計數(shù)器進(jìn)程 begin if reset=39。event and clk0=39。 cint=39。 end if。 畢業(yè)設(shè)計收獲很多,比如學(xué)會了查找相關(guān)資料相關(guān)標(biāo)準(zhǔn),分析數(shù)據(jù),提 高了自己的設(shè)計及動手能力,懂得了許多經(jīng)驗的獲得是前人不懈努力的結(jié)果。 但是畢業(yè)設(shè)計也暴露出自己專業(yè)基礎(chǔ)的很多不足之處。 end ar。 ct=conv_std_logic_vector(1 24)。 then s0=clkx。 then s0=39。 clkx : in std_logic。 淮安信息職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計論文 14 第 五 章 基于 FPGA 數(shù)字頻率計的設(shè)計與仿真
點擊復(fù)制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1